Социальные сети Рунета
Воскресенье, 19 мая 2024

Генеральный директор Intel Пэт Гелсингер выступит с основным докладом на выставке Computex 2024 TAITRA представила Пэта Гелсингера в качестве основного докладчика на выставке COMPUTEX 2024

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Гендиректор Intel не верит, что Windows-компьютеры откажутся от x86-процессоров, как сделала Apple Генеральный директор Intel Пэт Гелсингер выразил уверенность в том, что процессоры на базе Arm представляют минимальную угрозу для рынка ПК. В ходе телефонного разговора о прибылях и убытках Гелсингер заявил, что Intel не видит в них серьезной угрозы.

Гендиректор Intel понадеялся на ИИ в деле возвращения компании на первое место Intel, некогда титан чипмейкерского рынка, под руководством генерального директора Пэта Гелсингера нацелена на резкий «камбэк». Столкнувшись с такими проблемами, как отставание в области мобильных устройств и производства, Гелсингер объявил о восстановлении позиций, сосредот...

Генеральный директор Intel Пэт Гелсингер получил награду за выдающееся лидерство в 2024 году Генеральный директор Intel Пэт Гелсингер получил от Совета директоров JEDEC награду за выдающееся лидерство в 2024 году

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Под брендом Intel появятся два отдельных производства для завоевания рынка чипов Генеральный директор Intel Пэт Гелсингер во время мероприятия Intel Foundry Direct Connect, проходившего в Сан-Хосе, штат Калифорния, заявил, что компания намерена стать вторым чипмейкером (производителем чипов) в мире.

Intel сообщила, что будет производить процессоры для Microsoft "Я хочу производить все чипы с искусственным интеллектом в отрасли", - говорит генеральный директор Intel Пэт Гелсингер.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Текущий глава Intel Пэт Гелсингер почти 40 лет назад фактически «расписался» на каждом процессоре Intel 80386, а обнаружили это лишь сейчас Текущий глава Intel Пэт Гелсингер (Pat Gelsinger), как оказалось, «расписался» на каждом процессоре Intel 80386 (или просто Intel 386).  Гелсингер изначально не был управленцем — он был, кроме прочего, одним из основных разработчиков культового п...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Генеральный директор Intel: Китай отстанет в развитии на 10 лет из-за санкций Пэт Гелсингер заявил, что для изготовления действительно инновационной продукции Китаю необходима новая глобальная сеть поставок.

Новые 8-ядерные процессоры Loongson будет иметь производительность 12-го поколения Intel Core i7 Генеральный директор компании утверждает, что производительность этих новых процессоров может увеличиться до 50% по сравнению с предыдущими моделями.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Генеральный директор Intel, Пэт Гелсингер считает, что в дальнейшем Nvidia потеряет лидерство в ИИ По мнению представителя Синих, в скором времени что вся отрасль к устранит рынок CUDA и тогда Зелёный гигант рухнет

Генеральный директор Intel, Пэт Гелсингер считает, что в дальнейшем Nvidia потеряет лидерство в ИИ По мнению представителя Синих, в скором времени вся отрасль откажется от технологии CUDA и тогда Зелёный гигант рухнет

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Новый ИИ-процессор Nvidia Blackwell будет стоить как квартира Приготовьтесь выложить кругленькую сумму за новейшие вычислительные мощности для искусственного интеллекта. Генеральный директор Nvidia Дженсен Хуанг недавно сообщил, что чип следующего поколения под кодовым названием Blackwell будет стоить от 30 000 до 40 000 долларов (3690...

Гендиректор Intel: завод в Германии по производству чипов станет ведущим в мире Генеральный директор Intel, Пэт Гелсингер, во время Всемирного экономического форума в Давосе объявил, что их завод в Магдебурге, в Германии, станет не только самым передовым в Европе по производству чипов, но и займет ведущее место в мире.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Процессоры Arrow Lake от Intel будут основаны на новой архитектуре Xe-LPG Plus с XMX Согласно последним данным от Coelacanth-Dream, процессоры Intel Arrow Lake, ожидаемые в следующем году, будут оснащены графическим процессором Arc Xe-LPG Plus, который представляет собой модернизированную версию используемого в процессорах Meteor Lake.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Представлен процессор Sierra Forest с 288 ядрами Компания Intel удивила аудиторию представив процессор для ЦОД Sierra Forest. Ранее сообщалось, что этот чип получит 144 эффективных ядра, но внезапно, Пэт Гелсингер продемонстрировал 288-ядерный процессор.

В AMD со скепсисом оценили успех Intel в полупроводниковой отрасли Генеральный директор Intel Пэт Гелсингер с момента своего возвращения в марте 2021 года стремится «омолодить» компанию-чипмейкера, однако его усилия не вызывают восхищения у главного конкурента - AMD. Даррен Грасби (Darren Grasby), EVP по стратегическим партнерствам и презид...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Xiaomi будет сотрудничать с ARM для производства собственных процессоров Это подтвердил генеральный директор MediaTek - Рик Цай (Rick Tsai).

Windows 12 выйдет в следующем году? Intel говорит, что ожидает рост доходов из-за выхода новой версии операционной системы Компания Intel снова указывает на выход новой версии Windows в следующем году. Об обновлении на конференции Citi заявил финансовый директор Intel Дэвид Зинснер, отметив, что на этом фоне компания ожидает роста своих доходов.  создано DALL-E в Bing В данном случае ...

Оказалось, что старые процессоры AMD таят в себе пистолет и американский штат. На кристалле CPU Athlon K7 выгравированы изображения револьвера и Техаса Гравировка на старых процессорных кристаллах — дело не новое. Но до сих пор обнаруживаются CPU, где о таких изображениях общественность ранее не знала. К примеру, на кристалле старого AMD Athlon K7 их 1999 года нашли изображение пистолета.  Если точнее, изоб...

Intel Xeon W9-3595X активно тестируют перед релизом Следующий поколение процессоров Intel Xeon-W, вероятно, уже совсем скоро отправится в релиз, потому что модель W9-3595X удалось обнаружить в базе данных Geekbench с 60 ядрами. Стоит напомнить, что ранее инсайдеры опубликовали различные данные о процессорах нового поколения и...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Ожидается, что процессор Intel i9-14900KS Limited Edition будет выпущен в середине марта Процессоры Intel 14-го поколения серии "Raptor Lake Refresh" дебютировали в форме SKU для энтузиастов в октябре прошлого года

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года Так AMD поддерживает старые сокеты. Компания выпустила новый процессор Ryzen 7 5700 и готовится выпустить ещё несколько Компания Intel представила процессоры Meteor Lake, которые полностью новые, но, во-первых, доступны только в ноутбуках, а во-вторых, глобально мало н...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Фаундри-бизнес приносит Intel крупные убытки Контрактное производство чипов по прогнозам Пэта Гелсингера выйдет на безубыточность к 2027 году.

Генеральный директор Intel расскажет о решениях нового поколения на Computex 2024 Возможно, речь зайдёт и о процессорах Arrow Lake?

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Ноутбук Redmi Book 16 2024 готов к выходу Компания Xiaomi объявила, что официальная презентация ноутбука Redmi Book 16 2024 состоится уже 29 ноября. Судя по опубликованному изображению, лэптоп оснастят узкими рамками по периметру дисплея, металлическим корпусом и поддержкой Xiaomi HyperOS Connect. Цена Redmi Book 16...

Intel должна была лидировать на рынке аппаратного обеспечения ИИ Пэт Гелсингер о том, что NVIDIA "необычайно повезло"

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Названы предполагаемые обозначения ряда настольных процессоров Intel следующего поколения Следующие настольные процессоры Intel будут выпущены под новым брендом.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

Уволенный глава OpenAI Сэм Альтман присоединился к передовой исследовательской группе Microsoft в области ИИ Microsoft нанимает бывшего генерального директора OpenAI Сэма Альтмана и соучредителя Грега Брокмана. Альтмана уволили из OpenAI в пятницу после того, как совет директоров заявил, что «больше не уверен в его способности продолжать руководить OpenAI». После п...

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Новые процессоры MediaTek будут иметь графику NVIDIA Согласно последним новостям, компания NVIDIA лицензировала свои графические процессорные ядра (GPU IP) фирме MediaTek для использования в следующем поколении автомобильных процессоров

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Процессоры Intel следующего поколения Granite Rapids-AP Xeon 6 будут иметь до 128 P-ядер Четыре SKU этой линейки, включающие Xeon 6980P, 6979P, 6972P и 6960P, основаны на архитектуре Redwood Cove P-Core и имеют различные конфигурации ядер, вплоть до 128 ядер

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Исполнительный директор Qualcomm ожидает следующую версию Windows в середине 2024 года Это уже не первый раз, когда руководители и представители ведущих компаний в индустрии называют середину 2024 года временем выхода новой версии Windows.

Стала известна дата выхода Windows 12 Согласно данным Commercial Times, операционная система Windows 12 выйдет в июне 2024 года.  Дата выглядит вполне правдоподобно, так как это период перед активным ростом спроса, обусловленным возвращением учеников в школы и вузы и многих сотрудников из отпусков. Та...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Qualcomm анонсировала процесоры Snapdragon X для устройств с Windows on ARM Qualcomm анонсировала новое поколение десктопных ARM-процессоров под названием Snapdragon X. Известно, что они будут основаны на ядрах Oryon от компании Nuvia, которую Qualcomm приобрела в 2021 году. Интересный факт — Nuvia основана бывшими инженерами Apple, работавшими над...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Процессоры Intel нового поколения Lunar Lake-V Core Ultra 200V будут иметь 8 ядер CPU, 8 ядер GPU Они будут основаны на матрице, включающей 4 ядра P, основанных на архитектуре ядра Lion Cove, и 4 ядра LP-E, основанных на архитектуре ядра Skymont

Xiaomi 15 выйдет на рынок в середине октября с процессором Snapdragon 8 Gen 4 Xiaomi 15 будет оснащен передовыми технологиями и обещает обеспечить высокую производительность.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Новое поколение процессоров AMD Zen 5 обещает революционный прирост производительности Предварительные данные указывают на то, что процессоры AMD следующего поколения на архитектуре Zen 5 могут предложить рекордный прирост в однопоточной производительности

Ноутбук с Windows и процессором MediaTek или Exynos? Вскоре на рынке могут появиться такие продукты В скором времени на рынке могут появиться ноутбуки с Windows и процессорами MediaTek. Или Exynos.  Как сообщил генеральный директор Arm, в конце этого года закончится соглашение между Microsoft и Qualcomm, согласно которому, ПК с Windows Arm могут быть основаны то...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Chevrolet Corvette, Tahoe и Suburban нового поколения ожидаются в 2024 году. Компания представила новый слоган Chevrolet меняется вместе со временем и анонсирует новый слоган, Together Let's Drive, который сменил старый (Find New Roads). Chevrolet также заявила, что Bolt является самым доступным электромобилем в Америке. Компания также предлагает Colorado за 30 695 долларов...

Samsung Exynos 2600 получит новую графическую подсистему Если верить информации достаточно надёжного инсайдера, компания Samsung планирует полностью заменить графический процессор Xclipse, разработанный совместно с компанией AMD, на собственное графическое решение. Но, естественно, это произойдёт не сразу, потому что своё решение ...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

AMD, а покупателям вообще нужен этот упор на ИИ? Компания собирается продвигать новые процессоры Ryzen 8040U/H/HS именно таким образом А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Вчера AMD представила мобильные процессоры Ryzen 8040U/H/HS, которые технически практически ничем не отличаются от аналогичных моделей Ryzen 7040. ...

Xiaomi Pad 7 Pro получит мощный процессор В Mi Code обнаружились сведения о планшете Xiaomi Pad 7 Pro, который еще не был представлен официально. Утечка в очередной раз подтверждает информацию о том, что аппарат будет основан на 4-нанометровой однокристальной системе Qualcomm Snapdragon 8 Gen 2 с тактовой частотой д...

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

«Закон Мура 2.0». Intel обещает чип с триллионом транзисторов к 2030 году Intel стремится к 2030 году создать чип с триллионом транзисторов. В соответствии с законом Мура, который разработали Fairchild Semiconductor и Intel, чипы должны удваивать количество транзисторов каждый год. Но со временем ситуация ухудшилась, и темпы удвоения количест...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Dell подтверждает выход ускорителя NVIDIA B200 «Blackwell» в 2025 году Кроме того, один из руководителей компании намекает, что графический процессор будет иметь мощность 1000 Вт

Intel уверена в своём доминировании на процессорном рынке, несмотря на активное наступление AMD и Arm Компания Intel, несмотря на активную потерю доли процессорного рынка во всех основных сегментах, уверена в своём доминировании в обозримом будущем.  Выступая на Intel Innovation Taipei 2023 Technology Forum, глава компании Пэт Гелсингер заявил, что Intel сохранит ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Появились первые тесты процессора AMD Ryzen 7 7840S Сегодня китайский сайт опубликовал полноценный обзор нового ноутбука Lenovo Yoga Air 14s 2023 Ryzen Edition, оснащённого эксклюзивным процессором AMD Ryzen 7 7840S, который был спроектирован и разработан специально для Lenovo. Этот чип, основанный на архитектуре Zen 4, имеет...

Apple работает над новым поколением процессоров M4 с функциями ИИ Компания Apple ускоряет разработку своих новых процессоров следующего поколения M4, которые будут обладать продвинутыми возможностями искусственного интеллекта.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Генеральный директор Raspberry Pi подтверждает подготовку к выходу на IPO В начале недели агентство Bloomberg сообщило о высоких амбициях руководства Raspberry Pi

Наконец-то AMD вернётся к наращиванию количества ядер у своих процессоров. В Сети засветился APU Ryzen поколения Strix Point с 12 ядрами В базе Geekbench засветился мобильный процессор AMD линейки Strix Point. Новинка пока не имеет имени и скрывается за кодом 100-000000994-14_N. ПО подтверждает последние слухи и утечки о том, что количество ядер у процессоров Strix Point будет увеличено с текущих восьми...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Apple Готовит к релизу новые процессоры M4 Если верить поставщикам секретной информации, на текущий момент компания Apple усердно работает над следующим поколением своих процессоров из семейства M4. Вероятно, производитель выпустит сразу три модели процессоров, так что у потенциальных покупателей будет приличный выбо...

На старых процессорах Intel 386 нашли скрытое необычное послание Инициалы Гелсингера на кремниевой матрице процессора обнаружил блогер Кен Ширрифф

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

«Лунный» процессор Intel был впервые протестирован. 20-ядерный Lunar Lake появился в базе SiSoft Sandra Компания Intel уже явно располагает образцами процессоров Lunar Lake, потому как один из них засветился в базе SiSift Sandra.  Определить CPU позволило кодовое имя платформы — LNL-M LPP RVP1. ПО говорит о поддержке памяти LPDDR5, то есть это мобильный процес...

X-Silicon анонсировала RISC-процессор с видеокартой и нейромодулем Стартап X-Silicon Inc. (XSi), основанный бывшими экспертами из Кремниевой долины, представил инновационный процессор RISC-V, который способен одновременно обрабатывать рабочие нагрузки CPU, GPU и NPU. Этот гибридный чип CPU/GPU основан на открытом стандарте и будет иметь…

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Apple анонсирует новые чипы M3 с обновленными MacBook Pro и iMac На онлайн-мероприятии генеральный директор Apple Тим Кук анонсировал новое семейство процессоров под названием M3 и серию компьютеров Mac с новейшими процессорами Apple Silicon.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Генеральный директор производителя процессоров «Байкал» объяснил ситуацию с банкротством компании Ведущий YouTube-канала Максим Горшенин узнал у генерального директора Baikal Electronics Андрея Евдокимова о нынешней ситуации с этой компанией.

Глава Qualcomm назвал точные сроки выхода Windows 12 с умным интерфейсом на базе Windows AI Релиз новой настольной операционной системы совпадает с выходом процессора Snapdragon X Elite, который состоится в середине 2024 года

Архитектуры Zen 6 и RDNA 5 связаны с клиентскими процессорами AMD Ryzen "Medusa" В сентябре прошлого года инсайдеры утверждали, что предполагаемая серия процессоров EPYC 9006 "Venice" основана на микроархитектуре шестого поколения

Тесты новейшего процессора Loongson 3A6000 Недавно был представлен 8-ядерный процессор следующего Loongson 3A6000 на базе ядра LA664, а знакомый энтузиаст смог приобрести плату на данном процессоре (модель процессора LS3A6000-HV и чипсет LS7A2000). Читать далее

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Samsung раскрыла характеристики Exynos 1480 Совсем недавно компания Samsung выпустила смартфон Galaxy A55 — это произошло буквально в начале этого месяца. И, что самое важное, данный смартфон поставляется с процессором Exynos 1480, вот только производитель никаких деталей о данном процессоре не сообщил — просто в хара...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Новый Nissan GT-R будет «уродливой и угрожающей машиной» На автосалоне в Нью-Йорке в 2024 году старший вице-президент и директор по планированию Nissan в Северной Америке Понц Пандикутира заявил Motor Authority, что бренды GT-R и Z не умрут, хотя, возможно, потребуется некоторые время на выпуск новых моделей. «Следующее...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Intel представила Core Ultra - процессоры с ИИ-ускорителем и мощной графикой для тонких ноутбуков Компания Intel растянула анонс мобильных процессоров нового поколения — Meteor Lake — на несколько мероприятий, но сегодня нам наконец объявили все характеристики и сроки выхода новинок.

А тут Intel ответить будет нечем. Настольный Ryzen 7 8700G с iGPU Radeon 780M впервые засветился в тестах Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года В Сети впервые засветился процессор AMD Ryzen 7 8700G. Это настольный APU на архитектуре Zen 4, который правильнее было...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Jetour громко заявила о себе в России, а уже в марте начинается местное производство Помощник генерального директора Jetour Auto и генеральный директор Jetour по международным рынкам Джек Чэнь заявил «Известиям», что производство машин бренда начнется в России уже в марте. Пока что неизвестно, на каком именно заводе начнется выпуск машин. Се...

Стало известно, когда Core i окончательно уступят место Core Ultra. Процессоры Intel Arrow Lake-S ожидаются в третьем квартале Компания MSI фактически подтвердила примерную дату выхода процессоров Intel Arrow Lake для настольных ПК. Они появятся в третьем квартале.  Информация об этом была раскрыта известным оверклокером Toppc из команды MSI. Каких-то особых подробностей, конечно, не было...

Windows 11 версии 24H2 не будет работать на старых процессорах без инструкции POPCNT Стало известно, что Windows 11 версии 24H2 будет требовать наличие процессора с поддержкой инструкции POPCNT, что сделает невозможным запуск системы на очень старых компьютерах. Отметим, что эта инструкция была представлена компанией AMD в 2006–2007 годах вместе с архитекту...

Тут у AMD, как и у Intel, ожидается минимум изменений. Линейка процессоров Ryzen 8000 частично раскрыта Процессоры AMD Hawk Point засветились в Сети. Точнее, пока только их названия.  Собственно, ничего неожиданного в названиях нет. Новая мобильная линейка, как и ожидалось, будет называться Ryzen 8000, а входить в неё будут APU Ryzen 8000H/HS/U.  Уже сейчас изв...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Мобильные процессоры AMD Ryzen в новом поколении значительно усилятся по всем фронтам. Ресурс HKEPC опубликовал документ с параметрами APU Strix Point и Strix Halo Чем на это будет отвечать Intel? В Сети засветились 55-ваттный мобильный APU AMD Strix Halo с огромным iGPU и 12-ядерный Strix Point Ресурс HKEPC раздобыл 144-страничный документ, описывающий спецификации некоторых будущих процессоров AMD. В частности, там есть парамет...

AMD готовит к выходу процессоры EPYC 4004 для сокета AM5 Новая линейка процессоров будет похожа на потребительские чипы и получит до 16 ядер

Apple выпустит iPad Air на М3 уже совсем скоро Компания Apple планирует полностью обновить свою линейку планшетов iPad в следующем году — во всяком случае, об этом говорят инсайдеры. Кроме того, хотя базовые модели iPad и iPad mini 7 должны увидеть свет во второй половине следующего года, компания планирует запустить OLE...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

AMD выпустит графические процессоры нового поколения MI400 AI к 2025 году Обновлённый MI300 будет оснащён новой памятью HBM3e, конкурируя с Blackwell B100 от NVIDIA в 2024 году, а MI400 следующего поколения появится в 2025 году.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Системы NVIDIA DGX нового поколения могут выпустить с жидкостным охлаждением Во время экономического саммита SIEPR 2024 генеральный директор NVIDIA Дженсен Хуанг (Jensen Huang) признал, что системы DGX следующего поколения, предназначенные для ИИ и высокопроизводительных вычислений, будут нуждаться в жидкостном охлаждении из-за огромного энергопотреб...

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

Samsung представит новую память GDDR7 Если верить инсайдерам, компания Samsung планирует представить свои самые быстрые модули памяти GDDR7 следующего поколения уже в следующем месяце — они будут поставляться с пиковой скоростью до 37 Гбит/сек для графических процессоров следующего поколения. На текущий момент S...

Тесты показали превосходство процессора Apple A18 Pro над A17 Pro Следующее поколение мобильных процессоров Apple станет значительно быстрее

Планшет Xiaomi Pad 7 Pro может получить процессор Snapdragon 8 Gen 2 и экран LCD 144 Гц Xiaomi готовит для Китая планшет следующего поколения на процессоре предыдущего поколения

Samsung будет производить 4-нм процессоры AMD следующего поколения Интересно, что по информации DigiTimes, 3-нм чипы по-прежнему будет производить TSMC

Следующая версия Windows на подходе. Qualcomm заявила, что новая ОС выйдет в середине года Глава Qualcomm Криштиану Амон (Cristiano R. Amon), похоже, подтвердил, что в этом году нас ждёт новая версия Windows. Правда, пока нельзя однозначно утверждать, что речь идёт о Windows 12. создано DALL-E Выступая на мероприятии, посвящённом квартальному отчёту, Амон за...

Графические процессоры Intel BMG-G21 и G10 Battlemage замечены в отгрузочных декларациях Новая информация свидетельствует о возможном скором анонсе графических процессоров Intel следующего поколения

Новые, но лишь формально, процессоры Intel Core 14-го поколения будут представлены 8 января Компания Intel представит оставшуюся часть процессоров Core 14-го поколения уже 8 января.  Напомним, пока что представлены были только Core 14xxxK с разблокированным множителем, а большая часть линейки пока недоступна. Нас ждёт множество моделей Core i3, Core i5 и...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Сэм Альтман вывел Oklo на публичный рынок с целью решения растущего спроса на энергию для ИИ-систем Oklo, компания по производству передовых ядерных технологий, начала торговаться на Нью-Йоркской фондовой бирже. Ее основал и возглавляет Сэм Альтман, соучредитель и генеральный директор OpenAI. Альтман рассматривает ядерную энергетику как ключ к решению растущих потребностей...

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

«Вся индустрия заинтересована в ликвидации рынка CUDA». Глава Intel раскритиковал Nvidia и её доминирование на рынке ИИ Компания Intel после анонса процессоров Meteor Lake, которые пока не особо впечатляют, судя по первым тестам, решила заодно обрушиться с критикой на Nvidia.  создано DALL-E Если точнее, это сделал лично глава Intel Пэт Гелсингер (Pat Gelsinger). Его заявление каса...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Президент Xiaomi лично поможет собирать Xiaomi 14 Смартфоны линейки Xiaomi 14 набирают большую популярность ещё до официального анонса, что подтверждают данные по предварительным заказом и активное обсуждение новых смартфонов в Weibo. Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, оставил на св...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

«Боевые маги» Intel будут конкурировать уже с GeForce RTX 50. Линейка видеокарт Battlemage всё ещё запланирована на следующий год Свежая дорожная карта Intel подтверждает неизменность планов компании относительно выпуска видеокарт Arc нового поколения.  Линейка Battlemage запланирована на следующий год. Это же компания утверждала и ранее, но у Intel сроки выхода новых продуктов нередко сдвиг...

Новым процессорам Intel Core 14-го поколения можно будет разрешить работать при температуре до 115 градусов. Как минимум на платах MSI Процессоры Alder Lake и Raptor Lake выделяются очень большим энергопотреблением и нагревом. Raptor Lake Refresh из-за повышенных частот и отсутствия каких-то изменений в архитектуре будут потреблять и греться ещё больше. И при желании в некоторых случаях можно будет поз...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

AMD официально подтвердила архитектуру графических процессоров Radeon RDNA 3+ AMD официально подтвердила архитектуру графических процессоров Radeon RDNA 3+ на 2024 год, которая будет использоваться в APU Strix Point следующего поколения

Графический процессор Intel BGM-G21 следующего поколения был добавлен в проект LLVM Потенциально первый графический процессор Battlemage.

Intel идёт по пути Apple. Компания показала процессор Lunar Lake с собственной оперативной памятью на подложке Компания Intel на CES 2024 не только представила остатки линейки процессоров Raptor Lake Refresh, но и показала совершенно новый CPU линейки Lunar Lake.  Эти процессоры появятся в текущем году, вероятно, в самом его конце, и в целом придут на смену Meteor Lake. Но...

AMD публикует новые патчи для графических процессоров RDNA 4 следующего поколения в Linux Команда AMD по Linux наконец-то опубликовала дополнительные исправления для поддержки графического процессора RDNA 4 следующего поколения , поскольку компания заранее готовится к официальному выпуску.

Intel: процессоры Meteor Lake нового поколения не займут большую долю рынка Планы Intel в отношении грядущей процессорной архитектуры Meteor Lake привели к некоторому замешательству в мире компьютерного оборудования. Недавно генеральный директор группы клиентских вычислений компании Мишель Джонстон Холтхаус заявил, что чипы Meteor Lake действительно...

Nvidia выходит на поле квантовых вычислений с облачным сервисом Quantum Cloud Nvidia запустила облачный сервис для квантовых вычислений, стремясь получить прибыль от области, которая получает финансирование по всему миру, несмотря на то, что в этой области до сих пор было создано мало приложений. По словам Тима Косты, директора по высокопроизводи...

Графический процессор Arc B770 возможно будет иметь 8192 ядра Внутренние документы, предоставленные Moore’s Law is Dead, указывают на то, что видеокарты Battlemage следующего поколения будут ограничены настольными компьютерами и рабочими станциями.

Gigabyte представила материнскую плату TRX50 AERO D для процессоров Threadripper 7000 Купить её правда сейчас не получится, так как выход новой платформы ожидается в следующем месяце, но сделать предзаказ можно будет уже скоро

ABS в России уже выпускают, на очереди ESC. Производство отечественных систем стабилизации ESC запустят в ноябре «Российская» газета рассказала, когда в стране начнется выпуск систем стабилизации ESC. За комментарием обратились к компании «Итэлма» (летом она, напомним, начала выпуск в России систем ABS): оказалось, выпускать ESC начнут уже в ноябре. Ф...

Tesla 8 августа представит дизайн своего «Роботакси» Генеральный директор Tesla Илон Маск объявил, что 8 августа 2024 года компания представит свой дизайн «Роботакси», основанный на автомобильной платформе следующего поколения.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Apple выпустит iMac, MacBook Pro и Mac mini с процессорами M4 в этом году В настоящее время Apple работает над следующим поколением чипов собственной разработки на базе архитектуры ARM – M4. Чип, как ожидается, будет представлен в трёх основных модификациях: Donan, Brava и Hidra. Новые чипы обновят всю линейку Mac от Apple, включая 14-дюймовый…

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Флагманские видеокарты NVIDIA RTX 50XX выйдут в IV квартале 2024 года Согласно новым слухам, флагманские видеокарты NVIDIA следующего поколения — GeForce RTX 5090 и RTX 5080 — могут быть выпущены в IV квартале 2024 года. Новинки будут основаны на архитектуре Blackwell.

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Так выглядят ноутбуки на новых процессорах Intel Core Ultra. В Сети засветились новинки Dell и Alienware В Сети появились рекламные материалы с фотографиями ноутбуков Dell и Alienware, и некоторые из них будут оснащены новейшими процессорами Intel Core Ultra.  На изображениях можно видеть модели XPS 13, 14, 16, а также Alienware m16 R2 и m18 R2.  Все новинки пол...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Такими темпами китайские CPU скоро обгонят Intel Core. Loongson обещает, что её новые процессоры 3B6600 и 3B7000 смогут потягаться с Core 12-го поколения Компания Loongson заявляет, что её процессоры нового поколения смогут тягаться с CPU Intel Core 12-го поколения.  Речь о недавно представленных процессорах 3B6600 и 3B7000, которые, несмотря на разные названия, относятся к одной линейке и являются преемниками лине...

MSI официально объявила о выпуске новых BIOS для поддержки процессоров AMD AM5 следующего поколения Поддержка будет реализовываться на материнских платах X670E, X670, B650 и A620.

16-дюймовый топовый Asus на новейшем 12-ядерном APU Ryzen. В Сети засветился ноутбук ROG Zephyrus G16 на основе процессора Strix Point Топовый игровой ноутбук Asus ROG Zephyrus G16 с пока ещё неанонсированным процессором AMD Strix Point засветился в Сети с ценой 3700 долларов.  Само собой, купить его нельзя, но обилие утечек в последнее время намекает на скорый анонс.  В описании ПК указано,...

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

«Урал» строит новый автоматизированный завод на 5 тыс. машин в год Генеральный директор АЗ «УРАЛ» Павел Яковлев: кроме сборки, в новом корпусе будем варить кабины. Производство будет полностью автоматизировано. Эти проекты мы реализуем при поддержке Минпромторга РФ.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

CEO Xiaomi подарит Xiaomi SU7 генеральному директору Redmi, если Redmi Turbo 3 оправдает ожидания После официального объявления даты запуска нового телефона Redmi, Redmi Turbo 3, Лэй Цзюнь объявил, что если первый показатель продаж будет достигнут, генеральный директор Redmi, Ван Тенг, будет награжден SU7.

«Кринжовый юмор поколения бумеров», — создатель ChatGPT троллит Grok. Илон Маск уже ответил Публичный обмен колкостями между генеральным директором OpenAI Сэмом Альтманом и Илоном Маском продолжается. На прошлой неделе генеральный директор Tesla объявил о запуске Grok, конкурента ChatGPT, который дает ответы, приправленные грубым юмором. Альтман в четверг вече...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Появилось изображение процессора AMD 5-го поколения EPYC "Turin" Грядущий серверный процессор AMD 5-го поколения EPYC "Turin" был изображен в виде инженерного образца

HP представил ноутбук нового поколения Spectre X360 на базе процессоров серии Intel Core Ultra Эти ноутбуки «два в одном» будут оснащаться процессорами Core 7 155H или Core 3 125H.

Процессор Snapdragon 8 Gen 4 с ядрами Oryon появится осенью 2024 года Компания Qualcomm объявила о сроках появления своего следующего процессора для смартфонов, который, как мы уже знаем, будет оснащен совершенно новыми технологиями, которые должны кардинально изменить производительность наших смартфонов.

Windows 12 не будет основана на подписке Вчера была опубликована новость, подробно описывающая возможность того, что операционная система Windows следующего поколения может быть основана на подписке. Как оказалось, это предположение, скорее всего, ошибочно. Ресурс Windows Central опубликовал новый отчет, опровергаю...

Мобильные Intel Core 14-го поколения хоть и самые свежие процессоры, но поддержки функций vPro не получат. Всё из-за наличия в линейке Core Ultra Компания Intel подтвердила, что её новейшие мобильные процессоры Core Ultra (Meteor Lake) будут поддерживать набор функций, скрывающийся за маркировкой vPro.  Напомним, это функции, которые Intel ориентирует на профессионалов и корпоративных клиентов. В своё время...

Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года Возможно, некоторые процессоры Intel, которые мы ожидали в этом году, выйдут лишь в следующем.  Согласно данным инсайдера Golden Pig Upgrade, в этом году не будет новых высокопроизводительных CPU Core-HX. Речь о поколении Arrow Lake, которое должно выйти в конце т...

Intel готовит ещё 3 процессора нового поколения без индекса К Буквально вчера вечером компания Intel официально представила свои совершенно новые процессоры, которые на самом деле оказались процессорами прошлого года, но с повышенной тактовой частотой производительных ядер. Это, безусловно, разочаровало многих пользователей, так как ге...

Российские ABS и ESP будут не на 100% отечественными. Но в итоге планируется достижение полной локализации ФГУП «НАМИ» планирует запустить производство систем ABS и ESP для автомобилей на бывшем заводе «Роберт Бош Самара», который переименовали «НАМИ инновационные компоненты». Об этом сообщил журналистам гендиректор ФГУП Федор Назаров: &la...

Котик покинет Activision Blizzard в начале следующего года Бобби Котик, многолетний генеральный директор Activision Blizzard, покинет компанию 1 января 2024 г. после успешного приобретения игрового гиганта компанией Microsoft за 69 млрд долларов. Изначально Котик заявлял, что останется на посту генерального директора до конца 2023 г...

Автомобиль нового поколения BMW M5 будет проезжать на электродвигателе 70 км Генеральный директор немецкого автопроизводителя BMW рассказал о будущем суперседане M5

Народный Core i5 нового поколения, но без новшеств. Core i5-14400 впервые засветился в тестах В Сети появились тесты процессора Core i5-14400, который ещё не был анонсирован. Напомним, вчера Intel представила только старшие CPU нового поколения с разблокированным множителем, а младшие ожидаются в начале следующего года.  Core i5-14400 засветился в базе Gee...

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Сначала AMD и Qualcomm, затем Intel, а Apple будет последней. Появилась дорожная карта, описывающая график выхода новых процессоров всех компаний Согласно данным аналитиков Canalys, однокристальная система Apple M4 может увидеть свет в начале 2025 года.  Дорожная карта, построенная аналитиками, говорит о запуске продуктов на SoC M4 в первом или втором квартале 2025 года.  Напомним, SoC M3 присутствует ...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Intel сняла с производства процессоры 13 поколения с разблокированным множителем Компания Intel объявила о снятии с производства разблокированных "коробочных" настольных процессоров 13-го поколения Raptor Lake-S

Выход процессоров Intel Panther Lake запланирован в середине 2025 года Компания обещает удвоить производительность ИИ в будущих процессорах, по сравнению с Lunar Lake и Arrow Lake.

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Intel анонсировала процессоры Granite Rapids-D Xeon, которые выйдут в 2025 году На выставке MWC 2024 Intel официально анонсировала процессор Granite Rapids-D Xeon, который будет официально выпущен в следующем году для телекоммуникаций и других областей.

И снова придётся привыкать к новому принципу именования CPU Intel. Компания отказывается от моделей P в линейке Meteor Lake Совершенно новые процессоры Intel Meteor Lake будут недорогими? Ноутбук с Core Ultra 7 155H оценили в 1050 долларов, а ведь речь об одном из старших CPU До выхода процессоров Intel Meteor Lake остался месяц. О них известно уже немало, но многое ещё остаётся непрояснённ...

2024 год и далее — Intel пока не называет точные сроки выпуска графики Battlemage Слайды из недавней презентации процессоров Core Ultra пока не дают однозначный ответ на вопрос о сроках выхода следующего поколения графики Intel.

Процессоры AMD для ПК следующего поколения Zen 5 были замечены в последних драйверах от ASUS Обновлённые драйвера чипсетов от ASUS содержат информацию о грядущих процессорах серии Ryzen 9000 с микроархитектурой Zen 5 для настольных ПК.

Это первый ноутбук с Intel Core HX 14-го поколения и RTX 4060. Появились фотографии Lenovo Savior Y7000P Компания Lenovo объявила сегодня о скором выпуске нового поколения игрового ноутбука Savior Y7000P, который поступит в продажу в январе. Он получил новый корпус и свежий процессор. Игровой ноутбук Savior Y7000P 2024 получил новую конструкцию с увеличенным задним воздух...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Первый полноценный бенчмарк Galaxy A55 подтверждает наличие Exynos 1480 с GPU AMD В Galaxy A55 будет стоять новый чипсет Exynos 1480 с графическим процессором AMD

Консоль Google Play подтверждает наличие процессора MediaTek у Samsung Galaxy M15 5G В списке Google Play также подтверждается, что Galaxy M15 5G будет поставляться с новейшей операционной системой Android 14.

В Зеленограде налажен серийный выпуск системных плат с поддержкой российских процессоров В следующем году производство будет расширено.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

AMD готовит серверные процессоры серии EPYC 4004 для Socket AM5 По сравнению с процессорами Ryzen PRO 7000, которые предназначены для коммерческих настольных компьютеров, серия EPYC 4004 будет иметь больше ядер в диапазоне от 6 до 16, основанных на микроархитектуре Zen 4

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

«То, что мы придумали, — это бомба», — Alfa Romeo обещает очень красивый дизайн для Giulia нового поколения Генеральный директор Alfa Romeo Жан Филипп Импарато поделился предварительными подробностями о будущем спортивном седане Giulia следующего поколения. Он будет базироваться на той же платформе STLA Large, что и Charger 2024 года, но с радикально другим дизайном. Глава ко...

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Intel Core 14-го поколения разрешили работать при 115°C на «материнках» MSI MSI решает проблему с будущим нагревом процессоров Intel 14-го поколения, представив новую функцию BIOS под названием «CPU Fast Throttle Threshold» для своих материнских плат Z790 и Z690. Грядущие процессоры с более высокими тактовыми частотами и предельной мощностью, как ож...

Samsung Galaxy Book4 Ultra: главный противник MacBook Pro с мощным процессором Intel Samsung повышает ставки на поле битвы ноутбуков, представив свою новейшую модель Galaxy Book4 Ultra, которая должна составить конкуренцию последним MacBook Pro. Этот преемник Galaxy Book3 Ultra четвертого поколения оснащен современными компонентами, включая процессоры Intel ...

В базе данных Geekbench завестился Surface Pro 10 на базе Snapdragon X Plus Уже в следующем месяце Microsoft представит потребительские версии Surface Pro 10 и Surface Laptop 6 на базе новейших ARM-процессоров от Qualcomm. Мероприятие состоится 20 мая в Сиэтле. Напомним, что модели для бизнеса на процессорах Intel были анонсированы в конце марта. К...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Qualcomm Snapdragon X Elite опередил Intel Core Ultra 7 155H в тестах с ИИ Передовой процессор Qualcomm Snapdragon X Elite предназначен для использования в ноутбуках нового поколения и появится в продаже примерно в середине 2024 года, но перед тем, как отправить решение в релиз, Qualcomm решила немного похвастаться возможностями чипа в области гене...

PlayStation 5 Pro уже готовится к официальному анонсу Буквально на прошлой неделе компания Sony официально анонсировала Slim-версию своей консоли нового поколения PlayStation 5, а уже сегодня появилась первая неофициальная информация о более производительной консоли PlayStation 5 Pro. Стоит сразу отметить, что данная информация...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

96% сотрудников OpenAI хотят уйти из компании после скандала с увольнением Сэма Альтмана Уже 96% сотрудников OpenAI подписали письмо, в котором говорится, что они могут уйти и присоединиться к Сэму Альтману в Microsoft, если правление стартапа не уйдет в отставку и не вернет свергнутого генерального директора вместе с соучредителем и бывшим президентом Грег...

Представлены процессоры AMD Ryzen Pro 8040 – меньше TDP, но мощнее Core Ultra AMD представила линейку процессоров Ryzen Pro 8040. Это самые передовые процессоры x86, предназначенные для бизнес-ноутбуков и мобильных рабочих станций. Семейство APU AMD Ryzen PRO 8040 «Hawk Point» использует ту же архитектуру ядра процессора Zen 4 и ту же архитектуру…

Процессоры Intle 14-го поколения без индекса К уже продают в Китае Процессоры 14-го поколения Intel, только без индекса К, то есть без поддержки разгона по множителю, теоретически не должны быть доступны до начала 2024 года, потому что компания их официально ещё даже не анонсировала, не говоря уже про запуск полноценных продаж. Но сегодня п...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Гибридные процессоры Sound Wave следующего поколения AMD будут иметь архитектуру Zen 6 Эти подробности взяты из Linkedin и были обнаружены корейским сайтом Gamma0burst, который специализируется именно на таком виде анализа данных.

По слухам следующий процессор Kirin будет сопоставим с Apple A12Z Один из китайских инсайдеров сообщил часть характеристик ещё не анонсированного процессора HUAWEI Kirin, готовящегося к выпуску

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Чуда не случится: iPhone 17 не получит передовые 2-нм процессоры от TSMC По всей видимости, нам с вами придется подождать еще несколько поколений iPhone, прежде чем мы увидим 2-нм технологию.

Intel Xeon Clearwater Forest будут основаны на новых ядрах Darkmont Это процессоры только с E-ядрами.

Snapdragon X Elite превзошел Core Ultra 7 при ИИ-генерации изображений Qualcomm готовится к выпуску флагманского процессора нового поколения для ноутбуков — Snapdragon X Elite. Прежде чем эти чипы появятся на рынке в середине 2024 года, Qualcomm демонстрирует превосходство X Elite на неожиданной арене: ИИ-генерация изображений.

Представлена SoC MediaTek Dimensity 9300+ MediaTek анонсировала новый процессор Dimensity 9300+. Как следует из названия, это разогнанная версия Dimensity 9300, выпущенного в прошлом году. ОсобенностиMediaTek Dimensity 9300+ основан на 4-нм узле TSMC третьего поколения (вероятно, N4P) и, в значительной степени…

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

OpenAI снова спотыкается: запуск магазина для ИИ-инструментов отложен до следующего года Долгожданный магазин GPT от OpenAI столкнулся с очередной неудачей: компания признала, что из-за непредвиденных проблем релиз будет перенесен на следующий год. Изначально запуск магазина был запланирован на декабрь/ Недавняя суматоха в OpenAI, отмеченная сменой генерального ...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

В мобильные процессоры Intel Lunar Lake-MX встроят память Samsung LPDDR5X Intel готовится к революции в мобильном сегменте процессоров с новым поколением CPU Lunar Lake-MX, которые будут оснащены памятью LPDDR5X от Samsung, упакованной прямо на чип.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Qualcomm выпустит Snapdragon X Elite уже в середине 2024 года Согласно сообщению от главы компании Qualcomm, релиз процессора Snapdragon X Elite состоится в самое ближайшее время. Новый процессор, по словам представителей компании, специально разработан для ноутбуков под управлением Windows и появится на рынке в середине 2024 года. Это...

ИИ производительность процессоров Intel Panther Lake вырастет в шесть раз Такой прогноз даёт генеральный директор компании Intel.

Oppo Find X7 Pro засветился на фото Авторитетный инсайдер Ишан Агарвал опубликовал шпионское фото флагманского смартфона Oppo Find X7 Pro, который еще не был представлен официально. На снимке прежде всего выделяется огромный блок основной камеры. Ранее сообщалось, что Oppo Find X7 Pro первым на рынке получит д...

Samsung это несвойственно: создателю ChatGPT показали завод производству чипов. OpenAI и Samsung обсуждают планы о совместной разработке Сэм Альтман, генеральный директор OpenAI, производителя ChatGPT, посетил завод по производству чипов Samsung Electronics в Пхёнтхэке, провинция Кёнгидо, чтобы обсудить совместную разработку чипов для искусственного интеллекта (ИИ). Американский предприниматель встретилс...

Процессоры Intel Arrow Lake получат архитектуру GPU Xe-LPG PLUS Графические процессоры Intel следующего поколения Arrow Lake получают новую архитектуру Xe-LPG Plus с XMX.

На конференции NVIDIA GTC 2024 компания представит новое поколение процессоров Blackwell AI На конференции NVIDIA GTC 2024, которая пройдет на следующей неделе, компания представит новое поколение графических процессоров Blackwell AI

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

На CES 2024 объявили приблизительный срок выхода Nintendo Switch 2, но по ошибке Компания Ai Shark, производитель периферийных устройств, которую основал бывший генеральный директор бренда GameShark, производившего устройства с чит-кодами, на технологической выставке CES 2024 объявила дату перезапуска работы возрождаемой компании.

Foxconn заявила о необходимости строительства новых предприятий для производства ИИ-чипов Генеральный директор Foxconn Лю Янг-Вэй сделал прогноз на 2024 год, заявив, что рынок будет "немного лучше" по сравнению с предыдущим годом, несмотря на экономические трудности.

Опубликованы технические подробности процессоров AMD Zen 5 В публикации на Xwitter High Yield приводит список того, что мы знаем о настольных процессорах AMD следующего поколения: они будут работать на Socket AM5 и использовать тот же базовый процессорный пакет, что и Ryzen 7000, но они будут называться Ryzen 9000.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Samsung объявляет о сотрудничестве с Arm для разработки ядер Cortex-X следующего поколения Компания Samsung объявила, что будущие ядра процессоров Arm Cortex-X будут использовать 2-нм узел GAAFET от Samsung Foundry.

Следующее поколение процессоров Intel Arrow Lake может иметь меньшую тактовую частоту Core i9 14900KS достигает частоты 6,2 ГГц, тогда как 285K, как сообщается, будет ограничен 5,5 ГГц.

Процессор Intel Core i5-14600 65 Вт получил прирост кэша на несколько поколений В среднем сегменте серии процессоров Intel Core 14-го поколения для настольных ПК наконец-то наметилось оживление

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Представлен ноутбук Acer Predator Triton Neo на Intel Core Ultra Компания Acer пополнила ассортимент геймерских ноутбуков моделью Predator Triton Neo 16, которая основана на новых процессорах Intel Core Ultra. Новинку также оснастили графическими адаптерами NVIDIA GeForce серии RTX 40 вплоть до GeForce RTX 4070, нейронным процессором (NPU...

Новая консоль Xbox выйдет в 2026 году Следующее поколение консоли Xbox должно выйти через несколько лет, но кажется, что её выпустят раньше, чем PlayStation 6, так как Microsoft хочет заложить основы для следующего поколения консолей уже сейчас — для этого есть все необходимые технологии. По информации источнико...

Microsoft готовится представить ИИ-функцию AI Explorer в новых компьютерах Устройства будут оснащены передовыми процессорами Intel Core Ultra или Qualcomm Snapdragon X Elite и OLED-экраном.

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

Успеть в последний вагон. У дилеров заканчиваются дешевые автомобили 2023 года выпуска «Автостат» в ходе опроса дилеров выяснил, что в России начали заканчиваться автомобили 2023 года выпуска. Именно такие машины сейчас продают с большими скидками (например, «Москвич 3» подешевел на 700 тыс. рублей), а когда авто 2023 года будут вы...

В сети опубликованы фотографии тестовой платформы Intel Beechnut City для процессоров Xeon 6 Процессоры Intel Xeon 6 нового поколения, получившие кодовые названия Granite Rapids и Sierra Forest, готовы всколыхнуть рынок CPU своей передовой архитектурой и возможностями

Huawei MateBook X Pro 2024 будет весить меньше килограмма Генеральный директор компании Huawei Ричард Ю поделился весьма интересной информацией про новый ноутбук Huawei MateBook X Pro 2024, который, по словам представителя компании, будет крайне лёгким. Новый ноутбук весит всего 980 граммов, что на 30% легче, чем аналогичная модель...

Ноутбук Huawei MateBook D 16 2024 готов к выходу Компания Huawei раскрыла дату выхода нового поколения ноутбука MateBook D 16. Лэптоп будет представлен уже 28 ноября. По данным китайских источников, новинка унаследует от предыдущего поколения не только дизайн, но и чип Intel Core i9 тринадцатого поколения. При этом, корпус...

Флагманы следующего поколения на Snapdragon 8 Gen 4 станут монстрами автономности — они получат аккумуляторы 6000 мА·ч Отлично знакомый с планами производителей смартфонов из Поднебесной инсайдер Digital Chat Station сообщил, что флагманские модели нового поколения, основанные на SoC Qualcomm Snapdragon 8 Gen 4, получат аккумуляторы побольше, чем сейчас — емкость составит 6000 мАч...

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

Ubuntu превосходит Windows 11 на 20% в тестах на процессоре AMD Threadripper Pro 7995WX Ubuntu опережает Windows 11 на 20% по производительности на 96-ядерном процессоре AMD Ryzen Threadripper Pro 7995WX, подтверждая преимущество Linux в обработке задач на процессорах с большим количеством ядер

В новых версиях HWiNFO уже работают над поддержкой процессоров и графики Intel следующих поколений Arrow Lake, Panther Lake, а также Battlemage и Celestial.

Microsoft расскажет о новой бизнес-стратегии Xbox на следующей неделе Генеральный директор Microsoft Gaming Фил Спенсер сообщил, что на следующей неделе состоится мероприятие, на котором компания поделится более подробной информации о том, какое будущее ждёт Xbox. Ожидается, что Microsoft расскажет о планах по выпуску собственных игр на игров...

Генеральный директор Samsung объявил Индию следующим крупным центром ИИ Samsung Electronics называет Индию критически важным рынком для искусственного интеллекта, стремящимся стимулировать глобальные инновации. Компания демонстрирует свое видение «ИИ для всех», подчеркивая потенциал роста Индии, основанный на технологиях.

Qualcomm переходит с Arm на RISC-V. Новая однокристальная система Snapdragon Wear для умных часов будет использовать именно эту архитектуру Похоже, умные часы нового поколения с Wear OS станут намного автономнее. Компания Qualcomm объявила о том, что она совместно с Google разрабатывает платформу Snapdragon Wear, основанную на архитектуре RISC-V.  создано DALL-E  В пресс-релизе сказано, что новая...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

iPad Pro с М4 на 45% мощнее предшественника Два дня назад компания Apple официально представила новые iPad Pro и iPad Air — например, теперь Air доступен в диагоналях 11 и 13 дюймов, как и модели Pro-серии. Интересно также и то, что Air в некотором смысле является iPad Pro SE, как как новые модели 2024 года используют...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Инсайдер раскрыл названия процессоров Intel поколения Arrow Lake Процессоры Arrow Lake будут выпущены под названием Core Ultra 200, а Raptor Lake-H Refresh будут называться Core 200H

Подтверждена тактовая частота 6,2 ГГц и TDP 150 Вт для процессора Core i9-14900KS Розничные магазины начали размещать на своих страницах грядущий флагманcкий процессор Core i9-14900KS, подтверждая его характеристики

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Такие ПК могут быть выходом в ситуации проблемных процессоров Core i9. Lenovo готовит настольный игровой ПК Legion Blade 7000K на мобильных CPU Core HX Компания Lenovо решила выйти на рынок MoTD (Mobile on Desktop). Речь о настольных компьютерах, основанных на мобильных процессорах. Этот сегмент в последнее время активно развивается, так как мобильные CPU стали намного более мощными, а старшие линейки у AMD и Intel тех...

Xbox следующего поколения выйдет в 2026 году Новинка будет основана на процессорной архитектуре Zen5 и графической архитектуре RDNA5

OLED-телевизоры LG 2024 года будут оснащены чипом следующего поколения OLED-панели LG очень хороши, и теперь их процессор изображения тоже ждет серьезная модернизация

В 2024 году камера Zeiss выйдет на новый уровень? Инсайдер анонсировал «камерофон-Танос» от Vivo Инсайдер Digital Chat Station анонсировал камерофон Vivo, который за передовые возможности камеры называл Таносом. «Возможности изображения [Vivo] X100 уже превзошли [возможности] всех флагманов этого [2023] года, а в следующем году появится Танос с более высоким...

Глава MediaTek рассказал, когда Dimensity 9400 появится в продаже Генеральный директор MediaTek Рик Цай раскрыл ожидаемые сроки премьеры флагманского процессора Dimensity 9400

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

В России запустили серийное производство материнской платы для процессоров «Байкал» и «Элвис» О запуске производства «матерей» для российских процессоров заявил ресурс Moscowseasons.

Минимум до 160 ядер. В Сеть попали параметры процессоров AMD Epyc поколения Turin В Сеть попали параметры процессоров AMD Epyc нового поколения Turin, которые ожидаются в конце текущего года.  Новинки будут опираться на ядра Zen 5 и Zen 5C в зависимости от линейки. Перед нами, видимо, параметры и моделей Prometheus с ядрами Zen 5c, и моделей Ni...

Как сделать процессор Intel вдвое быстрее без повышения энергопотребления? Тесты показали преимущество AVX-512 у процессоров Xeon Emerald Rapids Процессоры Intel Xeon поколения Emerald Rapids, как оказалось, обеспечивают огромный прирост производительности при активации инструкций AVX-512, и при этом этот прирост почти бесплатный с точки зрения энергопотребления.  Авторы ресурса Phoronix протестировали фла...

Nvidia не хочет снижения цен на видеокарты RTX 40. Компания снизит производство GPU в преддверии запуска RTX 50 и высвободит мощности для H100 Компания Nvidia якобы уже готовится сокращать поставки GPU поколения RTX 40 в преддверии выхода линейки RTX 50.  Ресурс Quazarzone говорит, что Nvidia уже сообщила своим партнёрам, что поставки графических процессоров резко сократятся. Правда, не уточняется, каких...

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Qualcomm представила суперплатформу для Windows-ноутбуков. Snapdragon X Elite мощнее Core i7-13800H, быстрее Apple M2 и совместима с Windows 12 Вместе со смартфонной платформой Snapdragon 8 Gen 3 компания Qualcomm представила однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Да, это очередная попытка Qualcomm выпустить достойную замену процессорам Intel, но в этот раз действитель...

CPU-Z подтверждает выход AMD Ryzen Threadripper PRO 7995WX с 96 ядрами Zen 4 Всего в обновлении утилиты упоминается пять процессоров Ryzen Threadripper PRO 7000, включая модель Ryzen Threadripper PRO 7945WX с количеством ядер менее 16

RTX 5080 может не выйти в 2024 году, а мобильная RTX 5090 будет мощнее, чем ожидалось Слухи о следующем поколении Nvidia приносят геймерам хорошие и плохие новости: RTX 5080, возможно, не выйдет в 2024 году, а графические процессоры RTX 5090 и Blackwell для ноутбуков выглядят многообещающе.

Наконец-то базовые AirPods получат шумоподавление. Правда, за него придётся доплатить Компания Apple наконец-то наделит свои самые доступные беспроводные наушники технологией активного шумоподавления.  Новые базовые AirPods, как утверждает Bloomberg, выйдут в следующем году. Внешне они будут похожи на нечто среднее между текущими AirPods и AirPods ...

Huawei P70 получит невероятно мощный процессор Большой спрос на линейку смартфонов Mate 60 в Китае свидетельствует о том, что у Huawei есть достаточное количество потенциальных покупателей для запуска флагманского смартфона P70 в следующем году. Согласно информации, раскрытой китайскими источниками, компания планирует пр...

Intel объявила о скором выходе новых энергоэффективных процессоров Twin Lake Первой моделью из линейки выйдет процессор Intel N250

Новейшие Core Ultra, формально новые Core i и просто Core. Microsoft раскрыла названия неанонсированных процессоров Intel Новые, но лишь формально, процессоры Intel Core 14-го поколения будут представлены 8 января Компания Microsoft раскрыла все названия грядущих мобильных процессоров Intel до их официального анонса.   Новые CPU перечислены в списке поддерживаемых для обновления Win...

ASUS начала выпускать для плат AMD AM5 новые BIOS с поддержкой процессоров следующего поколения Судя по всему, скоро состоится презентация.

MSI начинает выпускать для плат AMD новые BIOS с поддержкой процессоров следующего поколения Вероятно, речь идёт о серии Zen5 процессоров Ryzen 9000.

Материнские платы MSI получают поддержку процессоров Ryzen 9000 Компания MSI подтверждает, что новый выпуск AGESA добавляет поддержку процессоров следующего поколения AMD Ryzen 9000.

Искусственный интеллект будет полностью разрабатывать игры в течение следующего десятилетия согласно прогнозу генерального директора NVIDIA

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Технология APO ускоряющая игры не появится в процессорах Intel 13-го и 12-го поколения Популярный канал YouTube Hardware Unboxed (HUB) протестировал APO и обнаружил реальный прирост производительности в двух поддерживаемых играх. Однако HUB был разочарован отсутствием поддержки программного обеспечения, а также необходимостью использовать процессор 14-го покол...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Intel Core Ultra 5 125H равен AMD Ryzen 7 7840HS в утечке бенчмарков Intel Core Ultra 5 125H - это процессор среднего ценового сегмента из семейства процессоров Intel нового поколения "Meteor Lake".

Первая собранная «Волга» нового поколения появится в середине 2024 Вице-премьер и глава Минпромторга РФ Денис Мантуров, который занимает пост председателя совета директоров АвтоВАЗа, подтвердил планы на запуск производства автомобилей Волга в середине 2024 года в Нижнем Новгороде. Насколько мне известно, в ближайшее время нижегородский...

На калининградском «Автоторе» могут запустить производство кроссоверов Jetour О том, что принадлежащий Chery бренд Jetour собирается запустить сборку своих автомобилей в России, заявил генеральный директор Jetour Auto Джек Чэнь примерно 10 дней назад. А сейчас появились новые подробности на этот счет. Jetour Dashing. Изображение: Jetour Как сооб...

Германия построит два новых суперкомпьютера, один из которых будет класса Exascale. Оба будут основаны на AMD Instinict MI300A В ближайшие годы Германия получит два новых суперкомпьютера, один из которых предложит производительность свыше 1 ExaFLOPS.  Университет Штутгарта и Hewlett Packard Enterprise объявили о соглашении, в рамках которого в 2025 году будет построен суперкомпьютер Hunte...

NVIDIA выпустила ультракомпактные видеокарты RTX A1000 и A400 для профессионалов NVIDIA анонсировала два совершенно новых графических процессора, основанных на архитектуре предыдущего поколения Ampere. RTX A1000 и A400 ориентированы на профессиональный рынок. ОсобенностиДва новых графических процессора заполняют нижнюю часть профессиональной…

В мае Microsoft проведёт специальное мероприятие о Windows, Surface и ИИ Microsoft разослала представителям СМИ приглашение на мероприятие, которое состоится 20 мая в преддверии конференции Build 2024. Сообщается, что на этой презентации генеральный директор Microsoft Сатья Наделла расскажет о перспективах внедрении ИИ в аппаратном и программном...

К выходу готовятся новые процессоры AMD с самым мощным графическим ядром. Ryzen 7000G уже поддерживаются AGESA Похоже, вскоре на рынок выйдут настольные APU Ryzen 7000G с производительным графическим ядром.  Поддержка таких процессоров появилась в прошивке AGESA 1008 для системных плат. Это намекает на то, что анонс может быть уже близко. На странице описания платы Asus B6...

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Представлен обновленный Raptor Lake Core 14 Intel раскрывает подробности о настольных процессорах Core 14-го поколения и уже сегодня поступили в продажу новейшие процессоры Intel для настольных ПК.

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Росатом начал испытания уникального оборудования для ядерной энергетики будущего Росатом начал тестовые испытания уникального оборудования для ядерной энергетики будущего, в частности, оборудования по производству инновационного ядерного топлива, о чем пишет ТАСС. Генеральный директор Росатома Алексей Лихачев и научный руководитель проекта «Пр...

Новые OLED-телевизоры LG получат новейший чип Alpha 10. Его будут применять и в умной бытовой технике LG Как пишет IT Home со ссылкой на Flatpanelshd, процессор OLED-телевизора LG 2024 года был значительно модернизирован и получил название Alpha 10. В 2018 году LG выпустила процессор Alpha 9 для OLED-телевизоров. Эта серия процессоров с годами модернизировалась и достигла ...

ASRock и Biostar тоже выпустили BIOS для поддержки новых процессоров AMD на платах 600 серии Говорят, семейство процессоров следующего поколения выйдет «в ближайшее время».

Intel доигралась и больше не будет заставлять свои процессоры работать на сверхвысоких частотах? Core Ultra 9 285K приписывают частоту 5,5 ГГц На фоне истории со сбоями в работе топовых процессоров Core i9 мы предполагали, что это может повлиять на грядущие CPU Arrow Lake-S. Возможно, сейчас мы получили этому первое подтверждение.  Как сообщается, топовый процессор Core Ultra 9 285K, который мог бы называ...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Windows 12 выйдет в сентябре-октябре 2024 года, но её возможности будут ограничены на многих компьютерах Следующее большое обновление Windows 11 называется Hudson Valley. Оно настолько большое, что выйдет как Windows 12. Примерно так же из Windows 10 «выросла» Windows 11, так что ничего удивительного нет. По данным Windows Central, Windows 12 выйдет во второй ...

Процессор Snapdragon X попытается занять ПК-рынок уже 24 апреля Qualcomm анонсировал запуск своего нового поколения процессоров для ПК, серии Snapdragon X, запланированный на 24 апреля.

Теперь Intel обещает 20-40% прироста и даже больше, но хватит ли этого, чтобы догнать монстров AMD? В Сеть попал документ о CPU Xeon поколения Emerald Radips В первой половине следующего года Intel выпустит серверные процессоры Xeon поколения Emerald Radips, которые заменят Sapphire Rapids и будут самыми производительными CPU компании. Сегодня в Сети появились документы Intel, посвящённые этим процессорам.  Характерист...

Новая функция AI Explorer в Windows 11 будет доступна только для Arm-процессоров AI Explorer сначала будет доступен только на новых компьютерах с процессорами Arm, поскольку в системных требованиях в качестве базового указывается новый процессор Qualcomm Snapdragon X Elite.

Google представила свой новейший тензорный процессор Trillium Компания заявляет, что её новый TPU Trillium позволит начать работу над моделями ИИ следующего поколения

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Новые подробности о мобильных процессорах Intel Panther Lake Компания Intel готовится к выпуску нового поколения процессоров Panther Lake для настольных компьютеров и ноутбуков

В HWiNFO улучшена поддержка ЦП AMD Threadripper PRO 7000WX в преддверии предполагаемого выхода Новые монструозные процессоры для рабочих станций могут представить на следующей неделе.

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Финансовый директор Intel намекнул на крупное обновление Windows в 2024 году Microsoft постепенно готовит людей к релизу обновления функций Windows 11 23H2. Однако ходит множество неподтвержденных слухов о том, что компания готовится к крупному обновлению, которое может называться Windows 12. Последнее доказательство поступило не от неназванного исто...

Инсайдеры опубликовали предварительные характеристики нового процессора Intel Core Ultra-15900K Core Ultra-15900K будет основан на совершенно новом технологическом процессе 20А

Китайская компания Loongson заявила, что её новые процессоры не уступают Intel Core 10-го поколения По словам компании, по одноядерной производительности её CPU находятся примерно на одном уровне с процессорами Intel Core 10-го поколения.

Процессорная часть не впечатлит количеством ядер, а вот iGPU очень силён. Появились новые тесты процессора Intel Lunar Lake Процессоры Intel Lunar Lake, которые выйдут в конце текущего года, не смогут похвастаться производительностью CPU, а вот графическое ядро явно будет сильно. Это подтверждают новые тесты.  В базе SiSoft Sandra засветился ноутбук HP Spectre x360 на основе Core Ultra...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Импортозамещение по-китайски. 10 000 компьютеров на китайских процессорах Longsoon были поставлены в 50 школ города Хэби Китайская компания Longsoon, известная своими достаточно неплохими процессорами собственной разработки, как сообщается, получила достаточно крупный государственный заказ.  Управление города Хэби закупило 10 000 компьютеров для 50 городских школ, и это ПК на основе...

Windows 12 может быть ОС по подписке Хотя в сообществе энтузиастов Windows это было догадкой, новая утечка, похоже, еще больше подтверждает, что операционная система Microsoft следующего поколения может быть основана на подписке. Ресурс Deskmodder заметил несколько таких записей, связанных с подпиской, в файле ...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

8-ядерный процессор Intel Lunar Lake был замечен в ноутбуках Samsung Galaxy Book5 Pro 8-ядерный процессор Intel Lunar Lake с iGPU Arc Battlemage Xe2 был замечен в ноутбуках Samsung Galaxy Book5 Pro нового поколения

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Новые универсалы BMW 5 Series уже сходят с конвейера с бензиновым и дизельным ДВС, в гибридном и электрическом исполнении Новое поколение универсала BMW 5 Series/i5 официально сошло с конвейера завода в Дингольфинге в Баварии, Германия. Новый автомобиль будет выпущен на европейский рынок в мае 2024 года, а на других рынках появится в июне. Эта модель доступна с бензиновыми и дизельными дв...

Утечка дорожной карты AMD указывает на то, что первые процессоры Zen 5 появятся в середине 2024 года Появилась новая утечка дорожной карты, в которой утверждается, что планы AMD в отношении Zen 5 будут реализованы ближе к новому году.

Новые процессоры Intel Core 14-го поколения уже можно предзаказать в Японии С приближением релиза процессоров Intel 14-го поколения Raptor Lake Refresh, ритейлеры из Европы и Азии начали предварительные продажи этих чипов.

Intel анонсировала новейшие процессоры Xeon 6 На мероприятии Vision 2024 компания Intel представила новое поколение серверных процессоров Xeon 6.

Apple готовится существенно обновить компьютер iMac Инсайдеры заявили, что процессор M3 от Apple изначально должен был появиться в этом году с улучшенными характеристиками и более высокой производительностью в рамках новых ноутбуков MacBook Air. Однако по новым данным, которые появились сегодня ночью, запуск передового процес...

В России будут собирать новейший внедорожник Jetour Т2. Компания планирует продать до 50 000 машин в 2024 году К концу 2024 года в Калининграде начнутся выпускать семейный кроссовер X70 Plus, а в следующем году стартует производство новейшего внедорожника Т2. Кроме того, Jetour планирует продать в России в этом год до 50 тыс. машин, но не мене 30 тыс. единиц, о чем сообщил &laqu...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Глава мобильного подразделения Samsung не стал генеральным директором всей Samsung Electronics Глава мобильного подразделения Samsung MX не был назначен генеральным директором всей Samsung Electronics.  Корейский гигант продолжает использовать структуру с двумя генеральными директорами из-за ситуации неопределённости на рынке. На днях Samsung Electronics об...

Intel отказывается от Core i3? В линейке процессоров Arrow Lake не будет моделей Core Ultra, а вместо них будут старые Core i3 Процессоры Intel Arrow Lake не только окончательно похоронят бренд Core i, заменив его на Core Ultra. Оказывается, они ещё и откажутся от моделей Core Ultra 3, которые могли бы называться Core i3.  Инсайдер Golden Pig Upgrade утверждает, что ни в мобильном, ни в н...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

Стартовало производство совершенно новой Skoda Octavia — с новыми светодиодными матричными фарами второго поколения и интеграцией ChatGPT Официальная премьера рестайлинговой Skoda Octavia состоялась в середине февраля, а сейчас компания сообщила о запуске автомобиля в серийное производство. Изображение: Skoda Выпускают автомобиль на заводе в Млада-Болеславе с четырьмя бензиновыми и двумя дизельными двига...

Intel представит процессоры для настольных ПК 14-го поколения в следующем месяце Intel представит процессоры для настольных ПК 14-го поколения Core "Raptor Lake Refresh" без K (65 Вт) в следующем месяце

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Сэм Альтман заявил, что следующая версия ChatGPT будет «знать о вас абсолютно все» Генеральный директор одной из ведущих мировых компаний по разработке искусственного интеллекта, заявил, что следующая версия GPT-5 затмит всех конкурентов.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Fujitsu создаст серверный 2-нм 150-ядерный Arm-процессор Fujitsu готовится к будущему, представляя процессор нового поколения Monaka, предназначенный для использования в приложениях искусственного интеллекта (ИИ), высокопроизводительных вычислений и ЦОД.

На радость владельцам процессоров Intel. Функция APO, повышающая производительность в играх, теперь поддерживает 12 новых игр Компания Intel расширила поддержку своей функции Application Optimization (APO), добавив в неё несколько новых игр.  создано DALL-E Функция, напомним, появилась ещё осенью, но всё это время поддерживала лишь две игры: Metro Exodus и Rainbow 6 Siege. Теперь же к сп...

Графические процессоры Intel Arc значительно улучшили Pytorch для Llama 2 Многие считают, что PyTorch предназначен для графических процессоров NVIDIA, но на самом деле это не так. PyTorch не зависит от платформы; просто многие пакеты, созданные на PyTorch, активно используют API CUDA NVIDIA. Вы можете запустить PyTorch практически на чем угодно; о...

Вот это «ёлочка»: SpaceX готовит самую большую в мире ракету Starship к новому запуску, фото Спустя месяц после второго запуска гигантская ракета Starship SpaceX снова готовится к полету. Второй запуск Starship завершился двумя впечатляющими взрывами. Но компания SpaceX, как всегда, готовится к следующей попытке. Ракета-носитель и верхняя ступень 18 декабря 20...

NVIDIA уже скоро представит новую видеокарту для ИИ Конференция GTC 2024 от NVIDIA должна начаться уже через несколько дней, но компания уже сейчас дала разработчикам предварительный список графических процессоров для искусственного интеллекта на базе архитектуры Blackwell нового поколения. К сожалению, хотя журналистам предс...

Intel подтверждает выпуск Windows 12 в следующем году Выход новой крупной версии операционной системы Windows ожидается в следующем году, что подтверждает компания Intel.

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Рождение «сверхгиганта»: Warner Bros. Discovery и Paramount Global ведут переговоры о слиянии Генеральный директор Warner Bros. Discovery Дэвид Заслав вступил в переговоры с генеральным директором Paramount Global Бобом Бакишем о потенциальном «мегаслиянии». Встреча, состоявшаяся в Нью-Йорке, была направлена на изучение синергии между двумя гигантами индустрии развле...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Стабильность важнее скорости: новые процессоры Intel Arrow Lake бросают вызов флагману i9 14900KS Intel готовится представить новое поколение процессоров Arrow Lake, которые, несмотря на более низкие тактовые частоты, обещают повышенную стабильность работы по сравнению с текущими флагманами.

Windows 11 24H2 не будет работать на старых ARM-устройствах Windows 11 никогда не поддерживала ARM-устройства на базе процессоров Snapdragon 835. В официальной документации значится, что для работы системы требуется Snapdragon 850 или новее. Конечно, пользователи могли на своё страх и риск установить Windows 11 на старых устройствах...

К выходу готовится Snapdragon X – бывшие разработчики Apple ... Компания Qualcomm, известная преимущественно своими мобильными чипами, недавно анонсировала разработку нового поколения процессоров под названием Snapdragon X, предназначенных для использования в ноутбуках.

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

В России освоили и ввели в производство критическую технологию для газовых турбин Александр Конюхов, генеральный директор АО «Силовые машины»: «Открытие производства литых лопаток газовых турбин — это знаковое событие для «Силовых машин» и всей отрасли. Новое производство основано на принципе 100% импортозамещения: наши специалисты разработали и внедрили ...

Выбираешь CPU Intel и автоматом получаешь Samsung. Процессоры Lunar Lake-MX будут оснащаться памятью LPDDR5X производства Samsung Процессоры Intel Lunar Lake будут очередным поколением, которое будет ощутимо отличаться от предшественников. В частности, эти CPU получат собственную оперативную память, которая разместится на единой с CPU подложке. Согласно свежим данным, это будет память LPDDR5X прои...

Samsung Galaxy Book 4 Edge протестировали в бенчмарке Сегодня в сети появилась информация о том, что гаджет Samsung Galaxy Book 4 Edge с процессором Qualcomm Snapdragon X Elite был протестирован в синтетическом тесте Geekbench 6, и, согласно предварительным данным, этот ноутбук на операционной системе Windows будет иметь 14-дюй...

Сфера ИИ столкнулась с «бутылочным горлышком» видеокарт В интервью с Ахмадом Шадидом, генеральным директором io.net, рассматривается критическая роль графических процессоров в разработке ИИ и проблемы, вызванные их нехваткой. Его слова приводит HackerNoon.

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

МГТУ им. Н. Э. Баумана внедрит производство квантовых процессоров для нового поколения ПК На новом кампусе МГТУ им. Н. Э. Баумана в Москве скоро стартует производство сверхпроводниковых квантовых процессоров для суперкомпьютеров, что станет первым таким предприятием в России.

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Qualcomm открывает ИИ-библиотеку для смарт-устройств Компания Qualcomm запустила свой AI Hub, коллекцию готовых ИИ-моделей, которые можно запускать на любом устройстве, основанном на процессорах Snapdragon.

96-ядерный процессор AMD Threadripper Pro 7995WX побил рекорды тестов с воздушным охлаждением Процессор основан на семействе AMD Genoa, которое также используется в обычной линейке Threadripper 7000 и процессорах EPYC 4-го поколения.

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

Qualcomm показала новые процессоры для Bluetooth-наушников: S3 Gen 3 и S5 Gen 3 Qualcomm представила следующее поколение своих аудиочипсетов — S3 Gen 3 и S5 Gen 3. Эти усовершенствования обещают улучшенное качество звука, повышенную вычислительную мощность и новые функции для аудиоустройств среднего и премиум-класса.

Могли бы получить Core i9-15900K, а получим Core Ultra 9 285K. Стали известны названия будущих настольных процессоров Intel В мобильном сегменте Intel уже отказалась от бренда Core i, перейдя на обычные Core и Core Ultra. В настольном такой переход нас ждёт позже в этом году с выходом Arrow Lake-S. И теперь мы знаем, как будут называться такие CPU.  Инсайдер перечислил шесть моделей бу...

Samsung запустила промышленное производство памяти V-NAND 9-го поколения Новое поколение Samsung V-NAND станет на треть быстрее

Intel Arrow Lake 15-го поколения, по слухам, лишатся Hyper-Threading (HT) Следующие настольные процессоры Intel Arrow Lake 15-го поколения могут не поддерживать технологию Hyper-Threading (HT)

Apple уже работает над MacBook Pro с процессором M4 MacBook Pro с процессором M4 уже находится в разработке. Процессор, который будет основан на чипе A18 Pro, и который мы увидим позже в этом году.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

AYANEO FLIP стала первым игровым портативным компьютером с процессором AMD Ryzen 7 8840U Серия портативных игровых устройств AYANEO FLIP, включающая модели с передовыми процессорами AMD Ryzen 7 8840U и уникальной системой охлаждения, открывает новые возможности для геймеров

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

От роботов-пылесосов к топовым внедорожникам: в России официально появятся машины Rox Motor Молодая и амбициозная компания Rox Motor, основанная в 2021 году генеральным директором известного производителя роботов-пылесосов Roborock, готовится к официальному выходу на российский рынок. Новость о планах компании была озвучена на международном автосалоне в Пекине...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Системы NVIDIA DGX следующего поколения будут с жидкостным охлаждением Директор NVIDIA Дженсен Хуанг признал, что скоро могут появиться системы NVIDIA DGX следующего поколения с жидкостным охлаждением.

Microsoft заявляет, что ARM-ноутбуки на Windows превзойдут MacBook В следующем месяце на мероприятии в Сиэтле Microsoft представит новые модели Surface на базе процессора Qualcomm Snapdragon X Elite. Microsoft считает, что компьютеры Windows на базе ARM скоро превзойдут по производительности процессоры в MacBook. Сообщения источников…

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Материнская компания ChatGPT OpenAI уволила генерального директора Сэма Альтмана OpenAI уволила генерального директора Сэма Альтмана из-за проблем с коммуникацией с советом директоров. Временным генеральным директором является технический директор Мира Мурати. Альтман, влиятельный специалист в области искусственного интеллекта, поблагодарил OpenAI. Будущ...

Intel хотя бы частоту немного подняла. Процессоры AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, похоже, вообще ничем не отличаются от предшественников В Сети появились первые результаты тестирования мобильных процессоров AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, которые выйдут в начале следующего года.  Новинки набирают 2200-2400 баллов в однопоточном режиме, а в многопоточном результаты в том же поряд...

Volkswagen и Stellantis будут использовать в своих машинах новую китайскую платформу Leapmotor Stellantis, итало-американская группа производителей автомобилей, подпишет инвестиционное соглашение с Leapmotor, китайским стартапом по производству электромобилей. Это соглашение будет включать лицензирование платформы LEAP 3.0 для новых автомобилей Stellantis. Leapmo...

Intel Lunar Lake-MX получат встроенную оперативную память Инсайдеры предполагают, что следующее поколение процессоров Intel Lunar Lake-MX будет оснащено оперативной памятью LPDDR5X, расположенной на одном чипе. Данная информация поступила от информационного издания DigiTimes, которое ссылается на анонимные источники из Китая. И, ес...

Всем санкциям вопреки: Huawei впервые представит настольный компьютер на базе собственного процессора В мае 2024 года Huawei откроет новую для себя нишу — компания представит первый настольный компьютер на базе собственного процессора. Новинка под названием Qingyun W515x будет ориентирована не столько на розничный рынок, сколько на корпоративных пользователей. Qi...

16 дюймов, 2,5К 240 Гц, Core i9-14900HX и GeForce RTX 4090 Laptop. В Сети засветился самый мощный Lenovo Legion Lenovo только-только представила игровой флагман Legion Y9000K 2023, а Сети уже засветилась новая модель. Ее особенность – в процессорах Intel Core 14 поколения, причем самых мощных – с индексом HX в названии. Вероятно, новая модель будет называться Legion ...

Совет директоров OpenAI (ChatGPT) увольняет генерального директора Сэма Альтмана Временным генеральным директором назначена Мира Мурати

Новые процессоры AMD Ryzen 9000 на архитектуре Zen 5 будут представлены в апреле 2024 года Игровые процессоры X3D появятся позже в этом году, незадолго до выхода Intel Arrow Lake.

Флагманский Redmi K70 Ultra будет работать на процессоре Dimensity, а не Snapdragon Xiaomi готовит к выходу новый смартфон премиум-класса под названием Redmi K70 Ultra.

Выпуск Core i9-14900KS ограниченным тиражом запланирован на середину марта 2024 года Процессор Intel Core i9-14900KS с тактовой частотой 6,2 ГГц будет потреблять много энергии и сильно нагреваться.

Один из первых на Ryzen 9 8945HS. В Сети засветился новый ноутбук Asus ROG Zephyrus G14 В Сети засветился один из первых ноутбуков, основанных на новых мобильных процессорах AMD Ryzen 8000.   Модель Asus ROG Zephyrus G14, вероятно, будет доступна в разных конфигурациях с разными APU, но засветилась с флагманским в своей линейке Ryzen 9 8945HS.  ...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Опубликованные данные JEDEC подтверждают выход новых видеокарт AMD и Nvidia с чипами памяти GDDR7 Вероятнее всего, ускорители с новым стандартом памяти выйдут на рынок лишь в следующем году

Ryzen 9000 ближе, чем все думали? В Сеть попала рекламная брошюра, где упоминаются такие процессоры Процессоры Ryzen 8000G, как мы уже сообщали, могут появиться вместо Ryzen 7000G уже в конце текущего или в начале следующего года. Возможно, Ryzen 9000 тоже уже на подходе.  В Сеть попало фото рекламной брошюры Dell, где изображён игровой ПК Alienware, якобы оснащ...

Из-за плохих продаж Porsche отказалась выпускать новое поколение Panamera Sport Turismo Wagon Porsche исключает вариант универсала Sport Turismo из модельного ряда Panamera, возвращаясь к линейке только седанов. Те, кто надеялся на выпуск универсала Porsche Panamera 2024, будут разочарованы, поскольку слабые продажи существующей модели не оправдали разработку пр...

OnePlus 13 может стать первым на следующем флагманском чипе Snapdragon OnePlus Ace 3V первым получит процессор Snapdragon 7 очередного поколения

MediaTek и Nvidia совместно разрабатывают ARM процессор для платформы Windows Производством чипов занимается TSMC, а выход в продажу запланирован на 2025 год.

Tiny Corp верит, что AMD предоставит доступ к прошивке графического процессора (GPU) Генеральный директор компании Tiny Corp., Джордж Хотц, выразил "70-процентную уверенность" в том, что AMD предоставит открытый доступ к определенным битам прошивки графического процессора (GPU)

К выходу готовятся платформы Snapdragon X Series, которые будут квантовым скачком вперед в производительности и энергоэффективности Компания Qualcomm готовится к ребрендингу своих платформ Snapdragon, хотя мобильных платформ это пока не коснётся. Следующее поколение SoC для ПК будет называться Snapdragon X Series. Подробности мы узнаем уже в ноябре. Об этом в своем блоге рассказал старший вице-през...

15-ое поколение процессоров Intel будет поддерживать технологии Thunderbolt 5 Новый интерфейс обещает скорость передачи данных до 120 Гбит/с

«Cамый доступный российский легковой автомобиль на высокотехнологической платформе» Lada Iskra уже задерживается Президент компании АвтоВАЗ Максим Соколов подтвердил смещение сроков начала серийного производства Lada Iskra на заводе в Тольятти. «Ещё новая модель — это автомобиль Lada Iskra. Старт её производства запланирован на самое начало 2025 года и это будет с...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Ждали Skoda, а получили Cupra. Бренд, принадлежащий Volkswagen, официально выйдет в США Бренд Cupra (SEAT Cupra), созданный в 2018 году, намерен выйти на рынок Северной Америки к концу десятилетия. Ранее ожидалось, что Volkswagen запустит в США бренд Skoda, однако вместо этого компания приняли решение выпустить Cupra. Планируется представить полностью элек...

Minisforum анонсировала планшет с процессором AMD Ryzen 8000 Hawk Point Дата выхода планшета пока не известна, но, вероятно, это произойдет сразу после анонса новых процессоров

Процессоры Intel 15-го поколения Arrow Lake и AMD Ryzen 9000 Zen 5 выйдут в 3-м квартале 2024 года Эта информация получена с китайских форумов по производству плат.

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Dell: Графический процессор NVIDIA B100 "Blackwell" не требует жидкостного охлаждения B100 - это новое поколение графического процессора с искусственным интеллектом, разрабатываемое NVIDIA для дополнительных карт PCIe и сокета SXM

Всё готово, Ryzen 9000 можно выпускать. Некоторые системные платы на чипсетах 600-й серии уже поддерживают грядущие процессоры Похоже, запуск процессоров AMD Ryzen нового поколения действительно уже не за горами. Как минимум оказалось, что выпущенные раннее в этом месяце версии BIOS для ряда системных плат уже поддерживают грядущие CPU.  Это касается как минимум плат Asus и MSI на чипсета...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Новый чип Apple A18 Pro может сохранить 6-ядерный GPU, как у A17 Pro Следующий процессор Apple A18 Pro, который будет использоваться в iPhone 16 Pro и iPhone 16 Pro Max, может сохранить 6-ядерный графический процессор (GPU), как у A17 Pro

Это новый Mitsubishi Endeavor на базе Nissan Pathfinder. Новинка может составить конкуренцию Toyota Highlander и Kia Telluride Mitsubishi рассматривает возможность разработки кроссовера на основе Nissan Pathfinder, о чем сообщает «За рулём». Mitsubishi Endeavor, выпускавшийся с 2003 по 2011 годы, может получить новое поколение после того, как был снят с производства из-за низкой поп...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Новая маркировка процессоров Intel: объясняем все тонкости Процессоры поколения Meteor Lake выделяется не только своими характеристиками, но и названиями. Давайте разберемся в новой маркировке процессоров Intel.

Скоро появятся ноутбуки Predator Helios от ACER с CPU i9-14900HX и GPU RTX 4080 Процессоры Intel 14-го поколения Raptor Lake-HX сохранят лидирующие позиции в ноутбуках, таких как Predator Helios следующего поколения от ACER, несмотря на запуск Meteor Lake

Дискретные графические процессоры Intel Arc Battlemage следующего поколения появятся в 2024 году Об этом свидетельствует утечка дорожной карты Intel.

Oracle представила мощные инстансы Ampere A2 для облачных вычислений следующего поколения Oracle представила инстансы Ampere A2 следующего поколения, работающие на 192-ядерных Arm-процессорах AmpereOne

JEDEC дорабатывает спецификацию GDDR7 с существенным увеличением пропускной способности для графических процессоров следующего поколения

В Ижевске вовсю готовятся к перезапуску производства Lada Largus. В конце января будет сварен первый кузов из панелей, сделанных на предприятии АвтоВАЗ планомерно реализует проект по возобновлению производства Lada Largus в России – в Ижевске. По словам генерального директора завода Александра Богачёва, в конце января на предприятии сварят первый кузов из узлов, произведённых непосредственно в Ижевске. П...

Tecno привезла в Россию металлические ноутбуки T1 с Intel и AMD на выбор Tecno объявил о старте продаж обновлённых ноутбуков Megabook T1 в России, которые теперь базируются на более современных процессорах. Это сравнительно лёгкие металлические ноутбуки для работы с мощными процессорами и тремя вариантами исполнения: диагональю 15,6 дюймов на баз...

Xiaomi готовится к запуску доступного POCO X6 Neo за $180 Третий смартфон линейки POCO X6 будет основан на процессоре MediaTek Dimensity 6080.

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Российский семейный кроссовер «Москвич 8» — самый большой в линейке бренда — представят в 2024 Директор по производству «Москвича» Олег Масляков рассказал, когда будет представлен новый кроссовер «Москвич 8» «Москвич 8» - кроссовер D-класса, он будет представлен ближе к концу следующего года», - заявил РИА Новости. Юрий ...

Первый в мире мини-ПК на процессоре, как у игровой приставки Asus ROG Ally. Edge Z1 основан на Ryzen Z1 Компания AMD ранее в этом году представила гибридные мобильные процессоры Ryzen Z1 и Z1 Extreme, предназначенные для портативных приставок. Оказалось, что на рынке вскоре появится мини-ПК с таким APU.  Модель называется Edge Z1 и основана, как ясно из названия, на...

Перед выходом настольных процессоров Ryzen 9000 компания AMD снижает цену на Ryzen 7 7800X3D Лучший игровой процессор AMD стал ещё дешевле.

Основатель Huawei запретил гендиректору говорить, что компания «сильно опережает» конкурентов, со штрафом 1380 долларов за каждое такое заявление, по данным Titanium Media Чжао Хэцзюань, основатель китайского издания Titanium Media, сообщил, что Жэнь Чжэнфэй, основатель и генеральный директор Huawei Technologies, запретил генеральному директору Consumer Business Group (BG) Huawei и председателю подразделения Smart Car Solutions Юй Чэндуну...

Snapdragon 8 Gen 5 будет оснащен модернизированными ядрами Pegasus Snapdragon 8 Gen 5, будущее поколение процессоров от Qualcomm, сохранит архитектуру CPU своего предшественника, но обзаведется новыми ядрами Pegasus

Это сердце нового флагмана Radeon, но карта будет среднебюджетной. GPU Navi 48 впервые засветился в Сети Компания AMD впервые засветила название нового графического процессора, на котором будут основаны видеокарты Radeon RX 8000.  Упоминание GPU Navi 48 появилось в ПО ROCm. Конечно, без всяких подробностей, но именно Navi 48 разные источники называли старшим графичес...

Intel, а это действительно должно интересовать потребителей? Компания рассказала о процессорах Lunar Lake, но говорила только о производительности в задачах ИИ На прошедшем сегодня мероприятии Vision 2024 компания Intel не только представила настольные процессоры Core Ultra поколения Meteor Lake, но и раскрыла подробности о Core Ultra 200V поколения Lunar Lake, которые выйдут в конце текущего года.  К сожалению, сегодня ...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Процессоры Core 12-го и 13-го поколений получат поддержку технологии APO Intel объявила о грядущем «апгрейде» процессоров Core 12-го и 13-го поколений, которые получат поддержку технологии APO

Характеристики всех заблокированных процессоров Intel Core 14-го поколения нашли в Сети Процессоры официально ещё не представили.

Выпущен мини-ПК Partaker B21 с процессором Intel 13-го поколения и графическим процессором RTX 4070 Можно выбрать между различными процессорами Intel, такими как Intel Core i9-13900F, i7-13700F и i5-13500F.

Intel раскрыла характеристики 64-ядерных процессоров Emerald Rapids и Granite Rapids Xeon Процессоры Emerald Rapids Xeon 5-го поколения поступят в продажу в декабре, а процессоры 6-го поколения Granite Rapids и Sierra Forest - в 2024 году.

В спецификации материнской платы iBase MI1002 обнаружены упоминания о процессорах Meteor Lake В спецификации материнской платы iBase MI1002 обнаружены упоминания о семействе процессоров Intel Meteor Lake-PS, принадлежащих к 14-му поколению

Похоже, AMD снова вернёт себе звание производителя самых мощный iGPU. Тесты графического ядра в процессорах Intel нового поколения на это намекают В конце текущего года Intel выпустит процессоры Arrow Lake, которые заменят Raptor Lake в настольном и мобильном сегментах. И один такой CPU уже засветился в бенчмарке.  Пока ещё безымянный процессор появился в базе SiSoft Sandra. В данном случае есть чуть больше ...

iQOO Neo 9 получит мощный процессор В базе данных китайского регулятора обнаружились сведения о смартфонах iQOO Neo 9 (кодовое наименование V2338A) и Neo 9 Pro (V2339A), которые еще не были представлены официально. Итак, базовую модель оснастят пока еще актуальной флагманской платформой Qualcomm Snapdragon 8 G...

Настольные Ryzen 7000G и новое поколение Ryzen 8000U впервые засветилось в Сети В Сети впервые засветились настольные процессоры Ryzen 7000G и мобильные APU нового поколения Ryzen 8000U.  Если говорить о первых, то пока засветились три модели: Ryzen 5 Pro 7500G, Ryzen 5 7500G и Ryzen 3 7300G. Первые два должны быть идентичны и, вероятно, буду...

Intel Core i9-14900KS будут продавать за 750 долларов Если верить информации из сети, процессор Intel Core i9-14900KS должен отправиться в релиз в самое ближайшее время, буквально на следующей неделе. К сожалению, никто так и не смог слить в сеть информацию о том, сколько будет стоить данный процессор, но сегодня магазин MicroC...

Такер Карлсон запустил новый видеосервис Tucker Carlson Network Tucker Carlson Network, новый видеосервис по абонементам официально запущен, о чем объявили Такер Карлсон (Tucker Carlson), Нейл Пател (Neil Patel) и Джастин Уэллс (Justin Wells). Пател является генеральным директором компании, ведущим коммерческие операции. Уэллс является п...

Процессоры Intel нового поколения Arrow Lake будут иметь новую архитектуру Xe-LPG Plus с XMX Встроенные графические процессоры Intel получат XMX, обеспечивающий более широкие возможности XeSS

У Twitter стало на одного конкурента меньше Post News, платформа с поддержкой издателей, запущенная в конце 2022 года, закрывается после того, как не смогла завоевать значительную популярность. Основанная бывшим генеральным директором Waze Ноамом Бардином, Post News была призвана предложить альтернативу Twitter без ре...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Первые 3-нм процессоры Samsung второго поколения появятся в составе умных часов и смартфонов серии Galaxy S25 Они будут выпускаться по второму поколению 3-нм технологии Samsung.

vivo X Fold3 получит самый тонкий в мире корпус Сегодня появилась достаточно интересная информация о смартфонах vivo X Fold3 и X Fold3 Pro — инсайдеры уверены, что складные смартфоны нового поколения будут запущены в конце этого месяца, и теперь появились достаточно детальные характеристики того, как эти гаджеты будут выг...

ScaleFlux собирается интегрировать процессоры Arm Cortex-R82 в свои SSD-контроллеры нового поколения Cortex-R82 является самым производительным процессором реального времени от Arm и первым в своем роде с 64-битной архитектурой Armv8-R AArch64.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

EK предлагает термоэлектрический водоблок с поддержкой процессоров Intel Core 14-го поколения Компания EK объявила о том, что EK-Quantum Delta² TEC теперь поддерживает процессоры Intel Core 14-го поколения

Процессоры Intel 14-го поколения Core 65 Вт для настольных ПК начнут продаваться 8 января Компания Intel готовится расширить линейку настольных процессоров 14-го поколения Core "Raptor Lake Refresh"

Чипы MediaTek Dimensity Auto получат графические процессоры NVIDIA RTX MediaTek объявила, что включит оборудование NVIDIA в панели управления следующего поколения для автомобильной промышленности

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Colorful готовит игровые ноутбуки Colorfire в серии с котиками под названием Meow Они будут основаны на процессорах AMD и графике NVIDIA.

Intel Core Ultra 5 240F потенциальный преемник 14400F, серия может быть основана на двух кристаллах Процессоры Intel Arrow Lake должны быть представлены в этом году.

Процессоры Intel Meteor Lake всё же выйдут на ПК Некоторое время назад представители компании Intel официально сообщили о том, что они не планируют новое поколение процессоров Meteor Lake выпускать на десктопных компьютерах. Это достаточно странное решение, которое не понравилось многим геймерам, потому что они надеялись п...

Новая архитектура процессора — уже пора Архитектура фон Неймана. Существующая архитектура и основанные на ней подходы к развитию аппаратного и программного обеспечения, очевидно, устарели. Это приводит к очень низкому КПД используемых ресурсов и неоправданно большим затратам на единицу полезного действия. Большую ...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Доплата за первый в истории процессор с частотой 6,2 ГГц из коробки будет немаленькой. В Сети засветились цены Core i9-14900KS Процессор Intel Core i9-14900KS готовится к запуску через два дня, но многие магазины уже добавили новинку на свои сайты, в том числе указав цены.  Ожидаемо Core i9-14900KS будет весьма дорогим. Официальную цену мы пока не знаем, но предложения в Сети говорят о на...

Lenovo представила очень компактный ПК с мощным процессором Intel Lenovo анонсировала новый мини-компьютер под брендом Xiaoxin, который отличается компактными размерами, но вместе с тем довольно производительной начинкой с процессорами Intel 13-го поколения H-серии

Раскрыты характеристики процессоров Core i3-14100 и Core i5-14400 Кроме того стало известно, что 8 января Intel выпустит процессоры 14-го поколения Core с заблокированным множителем для настольных ПК

NVIDIA представит новое поколение графических процессоров архитектуры Blackwell на GTC 2024 До долгожданной конференции GTC 2024 от NVIDIA остались считанные дни, и компания уже представила разработчикам обзор своих графических процессоров Blackwell AI следующего поколения.

Корейский портал MTN: «Бета-тест Lost Ark Mobile пройдёт в сентябре» Корейский сайт MTN передаёт, что новым генеральным директором Smilegate стал Чон Хван Ли, который ранее был руководителем отдела планирования Lost Ark Mobile. Вместе с этим руководство Smilegate надеется, что ближайший бета-тест игры пройдёт уже в сентябре 2024 года. Вероятн...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)