Социальные сети Рунета
Среда, 22 мая 2024

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

[Перевод] Все оценки сроков разработки ПО — ложь ▍ Разработка ПО — это исследование Требуют ли фармацевтические компании от исследователей сообщить им сроки создания лекарства от рака? Исследователи могут сообщить сроки выполнения конкретного исследования (и достаточно точные сроки, потому что планы исследований обычно им...

Как 3D принтеры используются в промышленных исследованиях и разработках? Руководство по покупке промышленного 3D принтера В этой статье вы узнаете, как 3D принтеры используются в разных отраслях и на что следует обратить внимание при выборе оборудования для каждой области промышленности.А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подроб...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

КамАЗ упростил производство деталей кабины K5: российские лонжероны не уступают немецким от Daimler Truck AG КамАЗ в рамках стратегии импортозамещения решил оптимизировать и улучшить процесс производства лонжеронов кабины флагманского семейства K5. Ранее до 2023 года эти компоненты поставлялись готовыми от Daimler Truck AG и производились немцами методом горячей листовой штамп...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

NASA выбрала компании для разработки следующего лунохода NASA выбрало три частные компании для разработки Lunar Terrain Vehicle (LTV), который астронавты Artemis будут использовать для исследования южного полюса Луны, начиная с 2030 года.

Стартап Kurs Orbital привлёк $4 млн для разработки новой технологии обслуживания спутников 7 марта стартап Kurs Orbital, занимающийся разработкой космических технологий для обслуживания спутников, объявил о получении начального финансирования в размере $4 000 000.  Основанная в 2021 году бывшим директором Украинского космического агентства Владимиром Усо...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Россия начинает производство ракет средней и малой дальности в ответ на недружественные шаги США С учётом ранее проведённых научно-исследовательских работ и накопленного опыта, процесс производства ракет средней и малой дальности не займёт много времени.

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Искусственный интеллект в баре Kirin создает новые напитки Японская компания Kirin использует искусственный интеллект для разработки новых напитков, ускоряя процесс создания ассортимента готовых к употреблению продуктов.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Трудности моторов в производстве танков в США Статья о трудностях, с которыми сталкиваются производители танков в США в процессе разработки и изготовления моторов для боевых машин. Обсуждаются проблемы с проектированием и производством моторов.

Lenovo ведёт разработку следующего поколения ноутбука с двумя дисплеями Yoga Book 9i На данный момент было опубликовано предположительное изображение ноутбука Yoga Book 9i, у которого видны 2 порта Thunderbolt 4.

Samsung готовит к релизу Galaxy Ring Согласно данным журналистов из Южной Кореи, Samsung стремится к массовому производству умного кольца Galaxy Ring в количестве от 400 000 до 500 000 единиц в год — это начальный этап производства, чтобы проверить спрос на гаджет в мире. Это кажется отличной идеей, но здесь ст...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Нужен ли продакт в ML-команде? Мнение изнутри Пять лет назад из обычного продакт-менеджмента я перешла в команду с дата-сайентистами. И весь процесс моей работы сильно изменился. Раньше после определения потребностей пользователя я приходила к команде разработки с готовой задачей и дизайн-макетами. А после разработ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

В России готовятся начать производство недорогих седанов и кроссоверов: переговоры с несколькими партнёрами ведёт Livan Китайская компания Livan ведет переговоры о контрактной сборке своих автомобилей в России, о чем заявил генеральный директор «Ливэн Моторс Рус» Се Цзяо. «Мы склоняемся к переговорам по сборке. С разными каналами мы уже разговариваем по этому вопросу&ra...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Первые отечественные мосты для КамАЗов К5 будут произведены во втором квартале 2025 года Директор автозавода КамАЗ Антон Сарайкин рассказал, когда начнется выпуск отечественных мостов для отечественных грузовиков КамАЗ К5. Случится это еще не скоро, но КамАЗ уже ведет активные работы по подготовке к этому. Изображение: КамАЗ «Основная идея проекта &n...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Кто такие специалисты по безопасной разработке и где на них учиться Привет, Хабр! В этой статье мы разберемся, кто такой специалист по безопасной разработке, какие требования к нему предъявляют работодатели, сколько специалисты этой профессии сегодня зарабатывают и куда можно пойти учиться на AppSec-специалиста. Давайте знакомиться! Меня зов...

Apple начинает разработку iPhone 16 с новыми возможностями Apple готовится к тестовому производству iPhone 16 в Чэнду, предполагая внести значительные улучшения в дизайн и технические характеристики по сравнению с предыдущей моделью.

Следующая модель смартфона Samsung Galaxy S25 обещает значительные изменения в дизайне Следующая модель смартфона Samsung Galaxy S25 обещает значительные изменения в дизайне, начиная с увеличения размера дисплея базовой модели.

Pixel Watch 3 выйдут в новом размере, а Pixel Buds Pro 2 уже в разработке Источники сообщают, что следующие часы от Google выйдут в размере 45 мм. Многие пользователи, которые предпочитают часы покрупнее, давно этого ждали. Скорее всего, модель в размере 41 мм, в котором выходили Pixel Watch 1-го и 2-го поколений, также останутся в линейке.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Nvidia ведёт переговоры с поставщиками памяти HBM для снижения цен на продукцию Nvidia активно ведет переговоры с поставщиками памяти HBM, чтобы снизить затраты на компоненты, что может привести к уменьшению стоимости производства и цен для конечных потребителей.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

Ученые продвинулись в исследовании молекулярной электроники Российский ученый принял участие в международных исследованиях вольтамперных характеристик органического нанослоя, который содержит ионы рутения. Научные работы в этой сфере должны помочь создать компоненты для производства электроники размером с молекулу. Это приведет к уве...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

Боевая машина "Тайфун-ПВО" готовится к массовому производству Разработка бронированного автомобиля "Тайфун-ПВО" завершена, и машина готова к серийному производству.

Учёные нашли новую форму жизненно важных белков — актинов Учёные из Московского физико-технического института совместно с коллегами из Института цитологии РАН, Объединённого института ядерных исследований и Университета Южной Флориды (США) изучили инактивированную форму белка актина. Это исследование поможет в изучении фундаменталь...

Зачем вам Employee Experience: как премии, CRM и выдача ноутбуков влияют на прибыль компании Привет! Мы команда UX-исследователей Alfa Research Center. В банке отвечаем за Employee Experience (EX) — исследование клиентского опыта сотрудников. Мы погружаемся в устройство процессов и интерфейс внутренних продуктов. Мы не ограничиваемся исследованиями интерфейсов ...

У смартфонов Huawei пропадёт поддержка приложений Android из-за новой HarmonyOS Компания Huawei объявила, что следующая версия фирменной ОС HarmonyOS уже готова к запуску. При этом HarmonyOS Next представляет собой кардинальное изменение по сравнению с прошлыми версиями.  Дело в том, что HarmonyOS Next представляет собой полностью собственную...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Defense News: На Украине запущено серийное производство дрона-бомбардировщика Backfire K1 Начиная с 2022 года, о разработке дрона-бомбардировщика Backfire K1 и о сборе средств на него сообщали различные украинские СМИ.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Пластины большего размера в модулях Astronergy ASTRO N7 удешевят солнечные электростанции Компания Astronergy, первопроходец в области производства фотоэлектрических модулей TOPCon n-типа, объявила о пополнении серии модулей ASTRO N7 TOPCon новыми линейками изделий на 66 прямоугольных ячейках, которые готовы к запуску в серийное производство и предлагают клиентам...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Запуск многоразовой ракеты «Амур-СПГ» запланирован на 2030 год По словам вице-премьера, главы Минпромторга РФ Дениса Мантурова, пуск многоразовой метановой ракеты-носителя среднего класса «Амур-СПГ», разработку которой ведёт Ракетно-космический центр «Прогресс», запланирован на 2030 год. Мантуров добавил, чт...

Крупнейшая атомная стройка в мире. Первый реактор российско-турецкой АЭС «Аккую» готов к загрузке ядерного топлива Директор по строительству и организации производства АО «Аккую Нуклеар» Денис Сеземин заявил, что первый реактор российско-турецкой АЭС «Аккую» готов к загрузке ядерного топлива. Он заявил, что в здании первого энергоблока АЭС установлен 300-тонн...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Спасибо, Qualcomm, дальше сами. Huawei с 2024 года перейдет на собственные однокристальные системы Как сообщает ресурс MyDrivers, Huawei запустила процесс расчистки складских запасов в рамках подготовки к выпуску большого количества новинок. Их выход ожидается в конце текущего – начале следующего года. Устройств будет так много, что это называют «наводнен...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Стартап представил идею заводов по производству микросхем по принципу Lego Нью-йоркская компания Nanotronics, занимающаяся разработкой промышленных ИИ, стремится совершить революцию в производстве полупроводников с помощью своей инновационной системы Cubefabs. Эти модульные заводы по производству микросхем с поддержкой ИИ могут быстро собираться и ...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Луноходы NASA CADRE готовы к запуску: сложные испытания пройдены НАСА объявило, что запланированный тройной луноход CADRE почти готов. Инженеры завершили всесторонние испытания роботов размером с ручной багаж, предназначенных для исследования лунной поверхности.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

ОДК представила проекты отечественных IT-решений для двигателестроения Объединенная двигателестроительная корпорация Ростеха представила проекты нового программного обеспечения для разработки и производства газотурбинных двигателей, в том числе проектирования деталей из полимерных композиционных материалов и моделирования процессов 3D-печати.

Сборку бестселлера Lada Granta запускают на новом заводе На следующей неделе в Азербайджане стартует производство российских автомобилей Lada Granta методом крупноузловой сборки. Открытие нового сборочного предприятия ожидается в последние дни марта в городе Гянджа. Как сообщил инсайдерский паблик «Нетипичный АвтоВАЗ&ra...

[Перевод] Создание сквозного конвейера MLOps с помощью Open-source инструментов MLOps с открытым исходным кодом: TL;DR Эта статья служит целенаправленным руководством для специалистов по исследованию данных и инженеров ML, которые хотят перейти от экспериментального машинного обучения к готовым к производству конвейерам MLOps. Мы выявим ограничения трад...

Новая горячая достопримечательность: экзопланета HD 63433 d размером с Землю и с полушарием из лавы В рамках исследования уже знакомой планетарной системы HD 63433 с двумя известными экзопланетами астрономы заметили новый небольшой объект, проходящий на фоне звезды размером с Солнце. Это оказалась новая экзопланета HD 63433 d: очень горячая и размером с Землю. Открыти...

Hyundai, Kia и Gore создают ключевые материалы для водородных топливных элементов Hyundai Motor и Kia недавно подписали соглашение с WL Gore & Associates (Gore) в экологически чистом научно-исследовательском центре Мабук в Южной Корее о совместной разработке водородных топливных элементов. Это сотрудничество будет охватывать все ключевые области ...

Microsoft разрабатывает серверное оборудование для ИИ, чтобы снизить зависимость от NVIDIA Агентство The Information сообщает, что Microsoft разрабатывает новую сетевую карту, которая должна повысить производительность серверного чипа Maia AI и снизить зависимость компании от решений NVIDIA. По информации источника, руководит проектом Прадип Синду (Pradeep Sindhu...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Самая маленькая Nintendo Wii на базе оригинальной консоли: размером с колоду игральных карт, но работает Игровые консоли — обширная область не только для производства и разработки электроники, но и для творчества. И речь сейчас не о самих играх, а о приставках. На днях появилась очередная консоль, вернее, современная инкарнация Nintendo Wii. Её размер такой же, как у колоды кар...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Китай намерен установить рекорд по количеству запусков в 2024 году Китай собирается побить собственный национальный рекорд по количеству миссий с выведением спутников, кораблей и прочих аппаратов на орбиту, о чем пишет ТАСС со ссылкой на Китайскую корпорацию аэрокосмической науки и техники (CASC). «В 2024 году космическая отрасль...

Джефф Безос, Amazon, NVIDIA и Microsoft инвестировали в ИИ-стартап Figure Средства будут потрачены на производство роботов и разработку моделей ИИ следующего поколения.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Ускорился процесс разработки Apple Ring Новый отчет указывает на ускорение процесса разработки Apple Ring, предполагая, что продукт может быть представлен в ближайшие месяцы.

Это новейший Tank 300 Hi4-T, и он может оказаться дешевле, чем ожидалось. Официальные фото и новые подробности Гу Юкунь (Gu Yukun), заместитель генерального директора бренда Tank, опубликовал в соцсети Weibo заметку, в которой коснулся ряда проблем, с которыми компании приходится сталкиваться при запуске в производство гибридного внедорожника Tank 300 Hi4-T. Свои слова он сопров...

ВТБ перевел производство ИТ-решений на российские системы Уже успешно внедрены модули управления процессами разработки и сопровождения — импортозамещены системы Jira, Confluence от компании Atlassian и компоненты системы Service Manager от компании Microfocus.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Система аутентификации: сделай сам vs возьми готовое Разработка системы аутентификации может показаться начинающему разработчику простой задачей. Пользователь создает учетную запись, данные сохраняются, и в дальнейшем по логину-паролю происходит вход. Но когда начинаешь копать глубже, система аутентификации, точно луковица, от...

Южная Корея начинает разработку корабельного ракетного комплекса Hyunmoo-IV-2 Южная Корея приступила к разработке нового корабельного баллистического ракетного комплекса Hyunmoo-IV-2, который планируется к внедрению к 2036 году. Разработка комплекса будет осуществляться на основе наиболее современных технологий и путём интеграции отечественных и заруб...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

CRM: покупать готовую нельзя писать свою. Ищем, где поставить запятую У моего знакомого в гараже стоял Ford Crown Victoria начала 80-х — красавец тёмно-вишнёвого цвета. История его попадания в гараж длинная и невероятная, но был нюанс: Форд был не на ходу. Точнее, он ездил только задним ходом. Хозяин, само собой, справедливо полагал, что такой...

В России готовы выпускать перспективную мемристорную память Российскими учеными объявлено о создании весьма интересной технологии, позволяющей интегрировать мемристорные устройства в процесс по производству кремниевых микрочипов. Это позволит выпускать в России достаточно перспективную мемристорную память формата RRAM.

В России предложили решение проблемы утилизации промышленных отходов спирта Ученые из Омского государственного технического университета (ОмГТУ) предложили новый способ обработки промышленных отходов, связанных с производством этилового спирта. Их исследование направлено на утилизацию спиртовой барды, побочного продукта производства спирта из з...

Intel могла предложить Microsoft сотрудничество для разработки чипа Xbox следующего поколения Похоже, у компании есть всё необходимое и, что очень важно, производство можно полностью развернуть в США.

Исследование: меньшие размеры порций вина повлияли на алкоголизм в обществе Новое исследование показывает, что уменьшение размера порций вина в бокале может помочь снизить потребление алкоголя в обществе. Да, звучит банально, но исследователи обнаружили, что когда в пабах, барах и ресторанах Англии убрали самый большой размер порции вина, общий...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Ученые разработали метод производства энергии из углекислого газа Этот инновационный процесс улавливания и преобразования углерода может быть основой для разработки новых технологий, которые будут не только сокращать выбросы углерода, но и предоставлять энергию для различных применений.

Омская компания импортозаместит катализаторы для бензина и авиатоплива Омская группа компаний «Титан» ведет разработку технологии для производства катализаторов, используемых в бензине и авиатопливе. Основной задачей проекта является создание отечественной замены для импортных аналогов, которые часто сталкиваются с проблемами поставок и им...

Бренды Vivo и iQOO ведут разработку своих новых планшетов с процессорами Snapdragon и Dimensity Будущие Vivo Pad 3 и Pad 3 Pro будут оснащены процессорами Snapdragon 8S Gen 3 и Dimensity 9300 соответственно, а iQOO Pad Air и iQOO Pad 2 неизвестными Snapdragon и Dimensity соответственно.

Huawei выпустит первый смартфон с тремя экранами уже в текущем квартале Государственное ведомство интеллектуальной собственности Китая объявило о новом патенте Huawei под названием «Устройство складного экрана». Как пишет mydrivers, компания Huawei ведет разработку нового «мобильного телефона с тройным экраном». Ожид...

Как Data Science помогает разрабатывать лекарства На протяжении более чем десяти лет я профессионально занимаюсь анализом медицинских данных и участвую в разработке инновационных препаратов. Не скрою, меня приятно удивляет, что в данный момент наука о данных (Data Science) привлекает все больше внимания и захватывает умы ма...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Тонкий кишечник оказался способен изменять свой размер Сейчас нам ещё очень мало известно об изменениях размера кишечника, связанных с питательными веществами. В ходе нового исследования специалистам удалось обнаружить один из сигнальных путей, участвующих в этом процессе.

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Гендиректору OpenAI захотелось миллиардов для мировой сети производства ИИ-чипов Гендиректор OpenAI Сэм Альтман, как сообщается, ведет переговоры о привлечении миллиардов для создания глобальной сети фабрик по производству чипов искусственного интеллекта.

В Китае запущено опытное производство новейшего Toyota Land Cruiser 250. Редкие фото с конвейера О запуске производства новейшего Toyota Land Cruiser 250 в Китае сообщалось неделю назад, тогда на фото показали первый сваренный кузов. За неделю процесс заметно продвинулся: судя по фото, опубликованным китайским ресурсом Autohome, запущено опытное производство. На ф...

Apple не стала заморачиваться и создаёт для себя облачные серверы ИИ на основе обычной SoC M2 Ultra. Позже начнут использовать M4 Компания Apple, возможно, не будет заморачиваться с разработкой собственного специализированного процессора для облачных серверов. Или по крайней мере такой CPU выйдет нескоро, так как Apple будет использовать обычные потребительские SoC.  фото: Apple Как сообщает...

Южная Корея готовится к собственной лунной в 2032 году миссии: Проект ракеты следующего поколения Южнокорейский проект создания ракеты-носителя следующего поколения стоимостью 2 трлн вон ($1,5 млрд) стартовал со сложностями в выборе организации, которая возглавит эту масштабную задачу. Проект, названный Korea Space Launch Vehicle-III, был разработан с целью создания...

[Перевод] Корни растений загадочно пульсируют, и мы не знаем, почему Вы, вероятно, не так часто задумываетесь о корнях растений - ведь они скрыты под землёй. Тем не менее они постоянно меняют мир. Подобный процесс происходит и в вашем саду, где растения используют невидимые механизмы для своего бесконечного роста.Около 15 лет назад учёные обн...

Корпус морской пехоты США выбрал General Dynamics и Textron для разработки прототипа ARV-30 Корпус морской пехоты США выбрал General Dynamics Land Systems и Textron Systems Corporation для разработки и производства прототипа разведывательного танка для программы Advanced Reconnaissance Vehicle 30mm Autocannon (ARV-30). Обе компании были выбраны на основе их большог...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

КМЗ запускает производство 3D-принтеров для литейной отрасли Кингисеппский машиностроительный завод планирует собрать не менее двадцати 3D-принтеров для изготовления литейной оснастки к 2027 году. Производство начнется со следующего года, оборудование полагается на технологию струйно-порошковой 3D-печати песчаными смесями (Binder Jett...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Правительство США выделило 285 миллионов долларов на создание "цифровых двойников" Администрация Байдена открыла прием заявок на финансирование в размере 285 миллионов долларов для разработки цифровых двойников в сфере производства микросхем.

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

В России разработали «вечный» термометр В Южно-Уральском государственном университете (ЮУрГУ) создали «вечный» термометр, который, по заявлению создателей, измеряет температуру в несколько раз точнее, чем существующие в мире аналоги. Кроме того, устройство не нуждается в регулярном техническом обс...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

По Cyberpunk 2077 снимут полноценную экранизацию У нас просто отличные новости — появилась информация о том, что в ближайшем будущем будет запуск разработки экранизации видеоигры Cyberpunk 2077 от CD Projekt Red. Польский разработчик игр сотрудничает с медиа-компанией Anonymous Content, которая работала над сериалами «На п...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Чертеж турецкой шнековой пары. Всем привет. В декабре пришел запрос на разработку чертежа шнековой пары. Завод из Уфы занимается производством труб из полипропилена.Шнековая пара была в плачевном состоянии. На самом шнеке были видны швы сварки. Сама шнековая пара турецкая. Трудилась на заводе примерно с 2...

На экранах iPhone есть скрытый QR-код для контроля затрат. И уже давно Согласно недавнему сообщению The Information, компания Apple с 2020 года использует микроскопические QR-коды на экранах iPhone для оптимизации производства и значительной экономии средств. Эти QR-коды, размером с песчинку и невидимые невооруженным глазом, гравируются на стек...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

SK Hynix начинает серийное производство первой в отрасли памяти HBM3E Компания SK hynix Inc. объявила о начале серийного производства HBM3E, новейшего продукта памяти AI со сверхвысокой производительностью, который будет поставляться заказчику с конца марта

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Более половины опрошенных американцев хотят электрифицированный автомобиль по средней цене $50 000, согласно данным GBK Collective Вопреки сообщениям о слабом спросе, новый опрос показал, что более половины американцев рассматривают в качестве своего следующего автомобиля либо электрический, либо гибридный автомобиль. Как сообщает компания GBK Collective, которая опросила более 2000 человек, живущи...

iPhone 17 станет первым смартфоном Apple, разработка которого стартует за пределами Китая Компания Apple всё активнее будет переносить производство своих iPhone из Китая в Индию. Как сообщает аналитик Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), базовый iPhone 17 станет первым смартфоном Apple в истории, разработка которого стартует за пределами Китая....

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

AMD и BlackBerry стали партнёрами. Компании будут продвигать роботизированные системы следующего поколения Компании AMD и BlackBerry объявили о заключении партнёрства.   В своём пресс-релизе он объявили об объединении усилий по продвижению роботизированных систем следующего поколения. В документе сказано, что новые платформы реального времени на базе системы AMD Kria K...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

Финансовый директор Intel намекнул на крупное обновление Windows в 2024 году Microsoft постепенно готовит людей к релизу обновления функций Windows 11 23H2. Однако ходит множество неподтвержденных слухов о том, что компания готовится к крупному обновлению, которое может называться Windows 12. Последнее доказательство поступило не от неназванного исто...

MLOps в билайн: как катить машинное обучение в production без ML-инженеров. Часть I Всем привет! Меня зовут Николай Безносов, я отвечаю за применение и развитие машинного обучения и продвинутой аналитики в билайне. В одной из прошлых статей мои коллеги рассказывали о месте Seldon в ML-инфраструктуре компании, а сегодня мы поднимемся на уровень выше и погово...

Российские ABS и ESP будут не на 100% отечественными. Но в итоге планируется достижение полной локализации ФГУП «НАМИ» планирует запустить производство систем ABS и ESP для автомобилей на бывшем заводе «Роберт Бош Самара», который переименовали «НАМИ инновационные компоненты». Об этом сообщил журналистам гендиректор ФГУП Федор Назаров: &la...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Nikon ведёт разработку новейшей фото и видеокамеры для экспедиции на Луну Беззеркальная камера Nikon Z 9 должна будет иметь повышенную устойчивость к теплу, радиации и вакууму

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Российско-белорусское предприятие выпустит 12-тонный грузовик на водороде Генеральный директор Центра водородной энергетики АФК «Система» Юрий Добровольский рассказал журналистам о создании совместного российско-белорусского предприятия для разработки грузового автомобиля на водороде. На международной конференции по водородной эне...

В МФТИ запускают производство тягового 3D-протеза руки Команда студентов с кафедры технологического предпринимательства МФТИ завершила разработку механического тягового 3D-протеза руки и готовит его к массовому производству. При этом на создание протезов без 3D-печати уходит около месяца, а на производство протеза от МФТИ с прим...

Meta* строит новые цепочки поставок чипов в Азии, но ищет их за пределами Тайваня Цукерберг ведет переговоры в Южной Корее и Японии о производстве чипов ИИ для снижения зависимости от Тайваня.

Intel понадобились миллиарды для нового завода в Ирландии По сообщениям, компания Intel ведет переговоры с такими крупными инвесторами, как Apollo Global Management, KKR и Stonepeak, ради финансирования нового завода в Ирландии. Это последует за аналогичной сделкой с Brookfield Infrastructure Partners по расширению производства Int...

Mitsubishi выпустит две модели на базе автомобилей Renault Mitsubishi добавит еще две модели от Renault Group, чтобы расширить свой ассортимент в Европе, начиная с компактного полностью электрического кроссовера от подразделения Renault Ampere EV в 2025 году. Японский автопроизводитель вслед за компактным кроссовером выпустит е...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Компания Textron Systems начала поставлять SECAT - новый военный транспорт-амфибию США Американская компания Textron Systems, занимающаяся разработкой и производством аэрокосмической и оборонной продукции, начала производство нового высокоскоростного транспортного средства-амфибии SECAT.

Протокол защищенного обмена для индустриальных систем CRISP: поддержка в устройствах Рутокен Приветствую уважаемую публику Хабра от лица условно анонимного представителя компании «Актив», занимающейся производством небезызвестных средств аутентификации и электронной подписи. В этой статье хотелось бы рассказать об одном из менее известных направлений деятельности по...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Это новый Mitsubishi Endeavor на базе Nissan Pathfinder. Новинка может составить конкуренцию Toyota Highlander и Kia Telluride Mitsubishi рассматривает возможность разработки кроссовера на основе Nissan Pathfinder, о чем сообщает «За рулём». Mitsubishi Endeavor, выпускавшийся с 2003 по 2011 годы, может получить новое поколение после того, как был снят с производства из-за низкой поп...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

NGC 685: галактика, в которой живут миллионы звёзд, удивила «Хаббл» Средняя галактика NGC 685 содержит не менее 100 миллионов звёзд. На расстоянии около 58 миллионов световых лет от Земли галактика NGC 685 кажется вращающейся в глубинах космоса. На снимке, сделанном космическим телескопом «Хаббл», представленном в качестве п...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Samsung ускоряет разработку упаковки для чипов на стеклянной подложке Samsung Group создала новый межведомственный альянс - по данным южнокорейского издания Sedaily, совместная работа будет сосредоточена на исследованиях и разработке "подложки мечты"

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Стартовало производство новейшего Haval H9. Этот рамный внедорожник скоро официально появится в России Производство новейшего Haval H9 стартовало в Китае: машину начали выпускать на заводе в Чунцине. Следующей страной, где запустят производство, станет Россия: новый Haval H9 займет место прежнего, который уже в России не выпускается. Haval H9 — крупный рамный внед...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Печень начинает активно работать задолго до первого укуса: достаточно запаха еды Новое исследование проливает свет на то, как наш организм готовится к приему пищи еще до первого укуса. Ученые из Института исследования метаболизма Макса Планка обнаружили, что печень начинает перестраивать свой метаболизм уже через несколько минут после того, как человек у...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

NASA представило новые результаты концепта «Луна - Марс» NASA представило результаты своего архитектурного концепта «Луна - Марс» 2023 года. Этот обзор является частью процесса агентства, направленного на разработку дорожной карты для исследования солнечной системы, включая планы по пилотируемым миссиям на Марс. ...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

Москву и Минск соединят высокоскоростной железнодорожной магистралью. Стороны прорабатывают проект Россия и Белоруссия ведут разработку проекта строительства высокоскоростной железнодорожной магистрали, которая свяжет Москву и Минск. «Российская часть трассы внесена в схему территориального планирования, сейчас мы ведем работу с нашими белорусскими коллегами по...

В России могут наладить производство пикапов Foton Tunland G7 Как сообщает Drom.ru со ссылкой на свои источники, «Нижегородские грузовые автомобили» ведет переговоры с Foton Motor по поводу организации в России производства пикапов Foton Tunland G7. На какой стадии находится переговорный процесс — неизвестно. Fo...

Работа над новой «Волгой» кипит — новую машину под легендарным брендом планируют выпустить в 2024 году Губернатор Нижегородской области Глеб Никитин подтвердил, что ГАЗ работает над проектом новой «Волги». «Очень ждём возобновления производства новой Волги. В конце марта Горьковский автозавод зарегистрировал товарный знак Volga и ведёт соответствующую ...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Набиуллина может быть выдвинута кандидатом на пост главы МВФ ТАСС: Международный валютный фонд (МВФ) рассчитывает до конца апреля завершить процесс выбора следующего директора-распорядителя. Если принять во внимание все обстоятельства, а также требование регламента иметь при подаче списка кандидатов не менее одной женщины, шансы Эльви...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Эрида и Макемаке: карликовые планеты, превзошедшие ожидания своими поверхностными физическими процессами Плутон во многих отношениях значительно отличается от классических планет. Он меньше Луны, имеет эллиптическую орбиту, по которой иногда приближается к Солнцу ближе, чем Нептун, и является частью скопления ледяных тел на окраине Солнечной системы. Иллюстрация карликовы...

Опыт автоматизации управления FPGA-стендами для распределенной команды: отказ от готового решения и работа над ошибками В software-разработке с автоматизацией обычно все неплохо: более-менее понятно, как настроить CI и автоматизировать отдельные этапы CI-конвейера. Есть множество готовых решений и практик. Но, когда речь заходит об автоматизации тестирования на «железе», появляется множество ...

Microsoft хочет улучшить процесс производства Surface с помощью ИИ Microsoft в своём блоге подробно рассказала о том, как команды Surface и Azure использовали облачные технологии высокопроизводительных вычислений для революции в процессе проектирования новых устройств линейки Surface. Заявляется, что это позволило уменьшить затраты и сокра...

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

TSMC начинает производство чипов для суперкомпьютера Tesla Dojo Тайваньский гигант полупроводниковой промышленности TSMC приступил к производству высокоинтегрированных чипов по технологии CoW-SoW для суперкомпьютера Tesla Dojo, предназначенного для обучения систем искусственного интеллекта.

«Абсолютно иной, современный дизайн». АвтоВАЗ наконец выбрал внешность кроссовера на базе Lada Vesta Глава АвтоВАЗа Максим Соколов подтвердил, что завод наконец определился с окончательным дизайном кроссовера на базе Lada Vesta. В данный момент ведётся работа по локализации компонентов для производства этой модели. «Мы зафиксировали дизайн, открыли тендерные проц...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Ракетный двигатель Hadley стартапа Ursa Major успешно прошёл испытания на гиперзвуковом самолёте Stratolaunch 9 марта стартап Ursa Major, специализирующийся на разработке ракетных двигателей, объявил, что их новый двигатель Hadley успешно протестирован на гиперзвуковом испытательном самолёте Stratolaunch. Stratolaunch, оснащённый модифицированным двухфюзеляжным Boeing 747-400, ...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

Осторожно, вредители! Что такое грифинг в онлайн-играх Разбираемся, почему некоторые геймеры саботируют игровой процесс и ведут себя как капризные дети.

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Что получится, если к роботам Boston Dynamics подключить ChatGPT: говорящая собака ведёт экскурсии, язвит и ищет снежного человека Компания Boston Dynamics, известная своей линейкой роботов, продемонстрировала на примере робота-собаки Spot, чего можно добиться, подключив мощности чат-бота ChatGPT. В небольшом демонстрационном ролике показано, как робопёс выступает в качестве экскурсовода.  Ин...

Китайские астрономы заявляют, что их новый космический телескоп телескоп Xuntian превзойдет «Хаббл» в астрономических исследованиях Телескоп Xuntian стал самым важным научным проектом после запуска космической станции нашей страны Китай готовит крупный проект, который не только расширяет национальную астрономическую исследовательскую программу, но и увеличивает использование космической станции стра...

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Apple признала слишком много ошибок в своих прошивках и задержала разработку iOS 18 и macOS 15 В сообщении Марка Гурмана из Bloomberg говорится, что Apple приостановила разработку новых функций для своих следующих операционных систем (iOS 18 и macOS 15), чтобы приложить больше усилий для исправления ошибок и повышения производительности. Как пишет Гурман, на прош...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

Верстак своими руками Верстак - это рабочее место, используемое для различных ремесленных и строительных работ. Он предоставляет удобное и стабильное пространство для работы с инструментами и материалами. Хотя вы можете купить готовый верстак, многие люди предпочитают сделать его своими руками, ч...

Россия начинает производство беспилотника-камикадзе Shahed-136 и он в 3 раза мощнее «Герани» привлекли внимание иностранных армий и разведок, и теперь они пытаются определить происхождение российских беспилотников, места производства и т.д.

На «Москвиче» запустили сварку кузовов. Этим занимаются роботы Коммерческий директор Московского автозавода Александр Мигаль сообщил о том, что на предприятии уже самостоятельно занимаются сваркой кузовов. Фото: Сергей Михеев/РГ «Уже сварены первые тестовые кузова и ведется настройка оборудования», – сказал ...

Учёные разгадали 18-летнюю загадку зарождения молодых звёзд на окраинах галактик Процесс звездообразования внутри галактик хорошо изучен, но до сегодняшнего дня мало было известно о том, как возникают и развиваются новорожденные звёзды на окраинах галактик. 8 января на 243-м собрании Американского астрономического общества астрономы объявили, что вп...

Калининградский производитель электроники GS Group объявляет о переходе на ODM-производство Компания GS Group из Калининграда анонсирует свою новую стратегию ODM-производства, включающую полный цикл услуг от разработки до производства электроники, а также поддержку в регистрации продукции для государственных закупок.

Электромобиль от Apple провалился, теперь в компании работают над созданием робота-помощника Инсайдеры сообщают о переключении фокуса разработки в Apple с электромобилей на роботов. Инженерам компании поставлена задача по созданию домашнего робота-помощника. Это станет «следующей большой разработкой» после того, как проект фирменного электромобиля Apple провалился п...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Экзоскелет «Норникеля»: как он таким стал Всем привет! Меня зовут Владислав Давыдов, я главный менеджер центра развития цифровых технологий компании «Норникель».Возможно, вы уже знаете, что «Норникель» ведет разработку промышленного экзоскелета. У вас могли возникнуть закономерные вопросы: Почему экзоскелет именно т...

Фабрику по производству фальшивых AirPods накрыли в Китае Производство было масштабным, работало сразу три линии сборки, было изъято 69 тысяч готовых наушников и 45 тысяч комплектов для сборки.

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

История создания API для курса валют В этой статье рассказываю, что побудило меня создать свой API для конвертации валют и получения актуального курса от различных источников. Начиная от анализа потребностей в онлайн-платежах и заканчивая разработкой гибкого и эффективного микросервиса. Демонстрирую вызовы, с к...

РФ начинает разработку новых национальных проектов по инициативе президента Путина Вице-премьер РФ Татьяна Голикова объявила о старте работ по разработке новых национальных проектов, анонсированных президентом Владимиром Путиным. Проекты охватят ключевые социально-экономические сферы и нацелены на повышение качества жизни граждан.

Первый лунный модуль Intuitive Machines готов к запуску Компания представила свой готовый модуль Nova-C 3 октября в новом главном офисе, на следующий день после завершения тестов, которые подтвердили, что космический аппарат готов к перемещению на Космический центр имени Кеннеди для запуска на ракете Falcon 9 в миссии IM-1. ...

Это официальный кабриолет Peugeot 208. Такая машина — одна во всём мире Единственный существующий кабриолет Peugeot 208 был впервые показан в Музее приключений Peugeot в Сошо, Франция. Разработка проекта «А97» началась в 2007 году, за пять лет до дебюта оригинального хетчбэка Peugeot 208, который появился в 2012 году. В отличие...

Как увеличить скорость принятия решений в компании за счет внедрения исследований без бюджета В команде продукта не проводят исследования и действуют «по ощущениям»? Не понятно как создавать продукты, которые соответствовали бы ожиданиям клиентов или превышали их? Как встроить исследования в регулярные процессы своими силами, не нанимая новых сотрудников и без большо...

Nuvoton представила новую платформу Endpoint AI для машинного обучения Nuvoton представила новую готовую к производству платформу Endpoint AI для машинного обучения

Следующая Hyundai Elantra N получит более мощный мотор Только вчера Hyundai представила Elantra N 2024 года в США, однако производитель уже работает над моделью следующего поколения. Исполнительный технический консультант Альберт Бирманн в интервью австралийскому журналу CarExpert Альберт Бирманн подтвердил, что автомобиль ...

Оценочные шкалы в UX-исследованиях UX-исследования играют ключевую роль в процессе разработки и улучшения продуктов, позволяя создателям понять потребности, предпочтения и проблемы их пользователей. Эти исследования помогают обеспечить высокое качество взаимодействия пользователей с продуктом, что, в свою оче...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Электроны ведут себя странно: дробный заряд найден в графене без магнитного поля Забудьте все, что вы узнали об электронах из школьного курса физики, потому что новое исследование показало, что при правильных условиях они могут бросить вести себя странно.

Samsung и Google готовят к выпуску Wear OS 5 на основе Android 14 Возможно, следующее поколение Wear OS выйдет уже в этом году. Samsung и Google активно ведут работы над обновлением Wear OS 5 на основе Android 14.

Компьютерное зрение сквозь года Как-то я столкнулся с довольно тривиальной, но новой для себя задачей - оптического распознавания символов (OCR). Так сложилось, что готовые инструменты (типа tesseract-ocr) мне не подошли, поэтому пришлось изобретать велосипед. Но к этому процессу я решил подойти со всей от...

Новинка от UltiMaker: FDM 3D-принтер Factor 4 - новый стандарт промышленной 3D-печати Компания UltiMaker объявила о выпуске промышленного 3D-принтера UltiMaker Factor 4, призванного вывести производство на новый уровень эффективности и надежности. Factor 4 - это комплексное решение для 3D-печати в легкой промышленности.3D-принтер UltiMaker Factor 4 предназнач...

Ученые «Сириуса» разработают новые лекарства против инфекций Ученые из Университета «Сириус» ведут совместные исследования с китайским университетом, нацеленные на создание нового поколения лекарств для борьбы с инфекционными заболеваниями. Директор центра трансляционной медицины Роман Иванов подчеркнул, что новые подходы и лекарства ...

Hyundai и Kia вернулись в Россию под брендом Solaris Hyundai и Kia официально начинают производство новых автомобилей на автозаводе в Санкт-Петербурге.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Почему переезд на новое ПО — такая боль для сотрудников. С чем смириться и что можно улучшить Эта статья для тех, кто хоть раз организовывал переезд на новое ПО на работе. И не важно, что это было — Notion в стартапе, Jira для разработки или пачка отдельных SaaS-систем.В процессе жизни и роста любой компании приходится перетасовывать, объединять, менять системы, на к...

Apple начнет поддерживать RCS начиная с 2024 года Со следующего года Apple внедряет в свои устройства на iOS поддержку нового протокола Rich Communication Services.

[Перевод] Миграции в YDB с помощью «goose» Любой более или менее серьезный продакшен, работающий с базой данных, подразумевает процесс миграции - обновление структуры базы данных от одной версии до другой (обычно более новой) [источник].Миграции в БД можно делать вручную или использовать для этого специальные утилиты...

Xiaomi ведёт переговоры с Brilliance и Chery о производстве электромобилей Власти не спешат выдавать компании отдельную лицензию на этот вид деятельности.

Слух: для Windows 11 Hudson Valley потребуется не менее 16 ГБ ОЗУ Microsoft продолжает разработку следующего функционального обновления для Windows 11 под кодовым названием Hudson Valley, в котором особое внимание будет уделено искусственному интеллекту. Для работы некоторых разрабатывающихся ИИ-функций потребуются новое поколение процесс...

Почему при сборке нового ПК нужно начинать с монитора, и какую видеокарту выбрать под 2К и 4К Важно не только подобрать правильную видеокарту под ваш монитор, но и определиться с размером дисплея и его разрешением

Переход с Vue-CLI на Vite: ускоряем разработку Vue 3 Одним из новых инструментов в арсенале Vue 3 является Vite - быстрый и легковесный бандлер, который значительно упрощает процесс разработки и ускоряет сборку проекта. В этой статье мы рассмотрим, как перейти от стандартного подхода с использованием Vue-CLI и Webpack к более ...

Meizu уходит из бизнеса смартфонов и меняет направление Meizu объявила о своем решении прекратить разработку новых смартфонов. Вместо этого Meizu решила сосредоточить свои усилия на разработке и создании «устройств завтрашнего дня», управляемых искусственным интеллектом. Шэнь Цзыюй, председатель и генеральный дир...

Redmi K80 Pro получит Snapdragon 8 Gen 4, новый аккумулятор, металлическую среднюю рамку и стеклянную заднюю панель Появились первые детали о смартфонах серии Redmi K80, которые предоставил инсайдер Digital Chat Station. Xiaomi ведет разработку Redmi K80 и Redmi K80 Pro. Хотя конкретная дата запуска не упоминается, инсайдер уверен, что они выйдут в конце 2024 года, как и мобильная пл...

[Перевод] Города в киберпространстве: образ города и разработка ПО Уже почти 200 лет урбанисты проектируют города с учетом потребностей людей. UI/UX дизайнерам есть чему у них научиться.Эта статья — вторая часть моего исследования связи между городами, теорией градостроительства, современными практиками разработки цифровых продуктов и польз...

Qualcomm разработает SoC Snapdragon X Plus с поддержкой модема 5G на ноутбуках Портал GizmoChina рассказал о новой разработке компании Qualcomm. Согласно новому слуху, Qualcomm работает над неким менее мощным аналогом своего флагманского чипа Snapdragon X Elite.

25 моделей автомобилей, которые подешевели меньше всех за последние 5 лет. Анализ цен более миллиона машин от iSeeCars Новые автомобили начинают дешеветь, как только владелец выгоняет их со стоянки дилера. Но некоторые марки и модели сохраняют свою первоначальную стоимость лучше, чем другие. Новое исследование iSeeCars показало, какие подержанные автомобили подешевели меньше остальных з...

Применение лазерного 3D-сканера Shining 3D FreeScan Trak Pro Устройство, представляющее собой комплект из 3D-сканера и трекера, и называющееся FreeScan Trak Pro, предназначено, в основном, для промышленного применения в инспекции производства — проверки размеров и формы создаваемых деталей на предмет отклонения их от проектных форм и ...

Apple ведёт переговоры об использовании китайского ИИ в iPhone Apple обсуждает возможность использования генеративного искусственного интеллекта Baidu в iPhone и других устройствах в Китае, о чем сообщает Bloomberg со ссылкой на The Wall Street Journal. Apple провела первые переговоры об использовании технологии генеративного искус...

От Digital Equipment до Nixdorf: старые бренды в IT, которых больше нет Многие современные IT-гиганты наших дней начинали свою деятельность во второй половине XX века и преуспели благодаря технологическому буму, хорошей стратегии, подрывным инновациям, талантливому менеджменту и т.п. Причин для успеха было много. Их имена у всех на слуху, но про...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

MSI начинает выпускать для плат AMD новые BIOS с поддержкой процессоров следующего поколения Вероятно, речь идёт о серии Zen5 процессоров Ryzen 9000.

Ученые НИТУ «МИСиС» провели испытания роботизированного биопринтера на животных Модифицированный учеными Национального исследовательского технологического университета «МИСиС» биопринтер на основе многоосевого робота, способный печатать живыми клетками прямо на пациентах, прошел испытания на животных в лаборатории доклинических исследований Московского ...

Activision показала новую карту Warzone всего на 100 игроков Activision выпустила видео с подробностями разработки новой карты Warzone, в котором уточнила, что режим королевской битвы будет поддерживать только 100 бойцов одновременно, хотя ранее число достигало 150. Разработчики из студии Raven Software объяснили, что такое решение бы...

Intel: разработка видеокарт Battlemage почти завершена, команда работает над следующим поколением Это можно сравнить с тем, что как если бы NVIDIA сообщила, что ее инженеры уже погружены в разработку RTX 6000.

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Бывшая Twitter готова покончить с убытками уже в следующем году Рекламодатели возвращаются стараниями нового генерального директора.

TSMC может получить больше ядерной энергии, если правительство Тайваня внесёт поправки в законодательство Компания TSMC является крупнейшим в мире производителем полупроводниковой продукции. А ещё это самый большой потребитель энергии на Тайване. Масштабы производств в рамках страны таковы, что законодатели готовы изменить действующие правила, регулирующие ядерную энергетик...

В 2026 году начнётся производство суперкара Quarkus P3 Третья разработка французской компании может дойти до стадии производства

ASML планирует выпускать литографы нового поколения для производства чипов в 2026 году Новые литографы ASML уменьшат размер транзисторов на 40%.

Электронику в машинах российской сборки сделают отечественной. Новые компоненты уже проработаны В России уже проработали некоторые электронные автомобильные компоненты, серийное производство которых запустится в ближайшие два года. Глубокую локализацию электронных автомобильных компонентов планируется поэтапно начать в РФ в 2024-2025 годах, о чем заявил замглавы М...

Производство индивидуальных эндопротезов СамГМУ прошло проверку Росздравнадзора Научно-исследовательский институт бионики и персонифицированной медицины Самарского государственного медицинского университета, занимающийся разработкой и производством индивидуальных и серийных эндопротезов с использованием технологий 3D-печати, прошел проверку Федеральной ...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

«Лунный крейсер» Toyota позволит космонавтам снимать скафандры и сможет проезжать до 10 000 км Японское агентство аэрокосмических исследований (JAXA) подписало соглашение с Национальным управлением по аэронавтике и исследованию космического пространства (НАСА) для Toyota о разработке нового герметичного лунохода для исследования Луны. Министр образования, культур...

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Попались: как работодатели ведут социальные сети для привлечения кандидатов Консалтинговая компания «ЭКОПСИ» совместно с агентством HR-маркетинга «Ар» провели исследование о том, как работодатели привлекают кандидатов через социальные сети.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Росатом начал производство первой в мире наземной атомной станции малой мощности Росатом, ведущая компания в области атомной энергетики, запустила процесс изготовления деталей для малой атомной станции нового поколения. Машиностроительный дивизион в Санкт-Петербурге приступил к производству разнообразных заготовок для первой в мире наземной атомной станц...

Почему Михаил Мишустин может стать следующим Президентом России - личное мнение Осталось менее 4-х месяцев до выборов следующего главы Российской Федерации и до сих пор нет ни одного зарегистрировавшегося кандидата. Оппозиция негодует. Власти молчат. Кто он? Будущий вождь народа?

Еще чуть-чуть и создатели ChatGPT будут стоить 100 миллиардов долларов OpenAI, компания, занимающаяся разработкой ChatGPT, как сообщается, ведет предварительные переговоры о привлечении нового раунда финансирования, который может поднять ее стоимость до ошеломляющих 100 миллиардов долларов или более, по словам источников, знакомых с вопросом. У...

Калужский завод переключается с Volkswagen на сборку Chery Бывший завод Volkswagen в Калуге начинает новый этап, налаживая производство китайских автомобилей Chery.

Ирак начинает массовое лицензионное производство китайского БТР Norinco VN22 6×6 Комиссия оборонной промышленности Ирака (DICI) недавно объявила о начале местного производства бронемашин Norinco VN22 6x6, чтобы укрепить обороноспособность своей страны.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Intel и UMC объявили о сотрудничестве в рамках разработки 12-нм чипа Intel и United Microelectronics Corporation (UMC) объявили о совместном сотрудничестве в разработке 12-нм техпроцесса. Это партнерство будет использовать крупномасштабные производственные мощности в США и опыт в производстве полупроводниковых пластин.

Лучшие практики RuStore: правила хорошего Code Review для Android Привет, я Михаил Емельянов, руководитель Android-направления в RuStore. Над стором трудится большая команда разработчиков, проект регулярно дорабатывается, а количество новых строк кода неизменно увеличивается. За год работы команда магазина приложений выпустила невероя...

Вышел бюджетный игровой процессор Ryzen 7 5700X3D с 96 МБ кэша под сокет AM4 Запуск новых Ryzen 8000-й серии, чья встройка, по словам AMD, выдает в Cyberpunk 2077 более 60 fps затмил собой релиз другого не менее интересного процессора. Им оказался новый Ryzen 7 5700X3D, который является облегченной и чуть более доступной версией знаменитого Ryzen 7 5...

Обзор технологий аккумуляторов нового поколения, и кто их создает Будущее чистой энергии зависит от эффективных и устойчивых аккумуляторных технологий. Многочисленные компании и исследовательские институты ведут гонку за разработку батарей нового поколения, стремясь преодолеть ограничения нынешних литий-ионных вариантов.

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

Первые исследования образцов астероида Бенну позволяют предположить, что он может быть «фрагментом древнего океанического мира» Учёные продолжают активное исследование образцов астероида Бенну, полученных в ходе успешно завершённой миссии NASA OSIRIS-REx. После семилетнего путешествия к этому космическому объекту, образцы были сброшены с парашютом в Юте и транспортированы в Лабораторию анализа а...

Dream Chaser и Shooting Star прошли строгие вибрационные испытания перед запуском 7 марта космическая компания Sierra Space объявила об успешном завершении первой фазы экологических испытаний космического самолёта Dream Chaser Tenacity на стенде NASA имени Нила Армстронга в Огайо. За последний месяц Sierra Space провела строгие испытания на вибрацию ...

Как создать простой LoRa мессенджер: обмен текстовыми сообщениями между устройствами без интернета В современном мире IoT, когда связь в отдаленных районах становится все более актуальной, технология LoRa (Long Range) предоставляет нам возможность создать дальнобойный, надежный, энергоэффективный и зашифрованный канал связи без необходимости иметь какую-либо сетевую инфра...

CREALITY Filament Dry Box 2.0 DB-02 Часть I - No|Comment. ВНИМАНИЕ! Фотографии содержат точное время, что позволяет отследить хронологию исследования.Примерно через час работы  переустановил ей таймер в нули и окончил исследование.Влажная нетканая салфетка, помещённая в центр катушки стала теплой, но осталась влажной.Катушка оказал...

Если бы Linux Foundation была компанией, она была бы крупнейшей в мире Организация CNCF недавно провела KubeCon в Шанхае. В ходе мероприятия Джим Землин (Jim Zemlin), исполнительный директор Linux Foundation, поделился некоторыми интригующими статистическими данными и соображениями. Он с юмором предположил, что Linux Foundation могла бы стать к...

Исследование звука: удаление шумов Обработка звука - это процесс исследования динамической/статической звуковой дорожки при помощи применения определенного набора линейных и нелинейных алгоритмов с целью получения необходимой информации. Алгоритмы динамической обработки звука работают с потоковым аудио, ...

Xiaomi начала переговоры с автопроизводителями По имеющимся данным, китайский технологический гигант Xiaomi ведет переговоры с известными автопроизводителями, включая Brilliance Auto Group Holdings, Chery Automobile и Beijing Automotive Group, о возможном партнерстве в области производства электромобилей (EV).

Сотрудничество между Россией и Ираном расширяется: о поставках автомобилей и запчастей Иранские компании ведут переговоры с российскими по поводу поставок в Россию автомобилей и запчастей из Исламской Республики, о чем сообщил «Известиям» посол Ирана Казем Джалали. «В связи с расширением направлений экономических отношений между нашими с...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Джони Айва наняли, чтобы создать устройство, на фоне которого iPhone покажется игрушкой По данным The Financial Times, компания OpenAI ведёт переговоры с дизайн-студией LoveFrom о разработке смартфона с уникальными возможностями.

Китайская компания iSpace запустила и приземлила испытательную ракету Еще одна частная китайская компания ведет активную разработку многоразовой ракеты-носителя

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Свежие цены 2024 года на все модели Lada и комплектацию машин показали на видео 31 декабря в салонах Lada обновили цены на актуальные модели автомобилей производства АвтоВАЗа, которые будут действовать в начале 2024 года. В следующем ролике показываются различные модели автомобилей, включая Lada Granta CLUB 23 со 106-сильным мотором за 966 тыс. ру...

[Перевод] Наука становится всё менее человеческой; ИИ увеличивает скорость открытий – но какой ценой? Этим летом таблетка, предназначенная для лечения хронического, пока неизлечимого заболевания лёгких, вошла в среднюю фазу испытаний на людях. Предыдущие исследования показали, что препарат безопасен при проглатывании, но улучшит ли он симптомы болезненного фиброза, на которы...

Япония включается в гонку по производству и разработке микросхем Япония пытается стать лидером в области разработки микросхем и выделяет на поддержку отечественной полупроводниковой промышленности 13,3 миллиарда долларов.

Следующая версия Windows стала на шаг ближе к релизу Microsoft продолжает разработку следующей версии Windows под кодовым названием «Hudson Valley», которая должна выйти осенью этого года. Известно, что система будет основана на платформе Germanium, включающей в себя большие изменения, необходимые для новых ARM-устройств с пр...

Импортозамещение по-американски: США начинают выделять серьезные средства полупроводниковым компаниям внутри страны В 2022 году Штаты начали реализацию программы по развитию индустрии производства чипов внутри страны. Речь идет о законе CHIPS Act. Согласно ему, компаниям, которые развертывают производства внутри государства, выделяются средства в общем размере $52,7 млрд. Речь, конечно...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Почему Windows настолько медленнее Linux. Расползание фич Время выполнения общих команд Git в Ubuntu и Windows 11 (в секундах, чем меньше — тем лучше), источник: OpenBemchmarking.org В далёком 2013 году один из разработчиков Microsoft детально объяснил, в чём главные технические проблемы при разработке ядра Windows NT. Спустя дес...

Инновационный душ Showee: новый шаг в улучшении жизни людей с ограниченными возможностями: сенсорный экран, регулируемая высота и детальные инструкции Доступность, которую долгое время упускали из виду многие мировые производители, начала находить своё место в мире технологий. По оценкам, более четверти взрослого населения в США заявляют, что у них есть инвалидность, а в Великобритании, согласно прошлогоднему отчёту О...

Игра в имитацию: как разрабатывать и отлаживать ПО для процессора, которого нет Разработка процессора и вообще программируемых микросхем — процесс сложный и длительный. От старта проектирования до получения первых образцов в кремнии проходит больше года. При этом ПО желательно писать и отлаживать параллельно процессу производства, чтобы оптимизировать с...

БПЛА «Чайка», созданный по схеме тейлситтер, приступил к испытаниям Стало известно о скорой отправке новейшего ударного беспилотника «Чайка» в районы СВО для проведения испытаний в реальных боевых условиях, хотя фактически работы над данным БПЛА еще продолжаются. Разработку дрона ведут инженеры КБ «Стратим».

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

TSMC добивается грантов на $5 млрд для строительства завода полупроводников в Аризоне TSMC, Intel, Micron и Samsung ведут борьбу за господдержку своих проектов по созданию производств полупроводников на территории США.

Решения для крупноформатного аддитивного производства от Kings 3D Компания Kings 3D основана в 2015 году. Сегодня это национальное высокотехнологичное предприятие, занимающееся исследованиями и конструкторскими работами, производством и применением аддитивного оборудования. Kings 3D — один из немногих китайских производителей, выпускающих ...

Полмиллиона Lada за год: АвтоВАЗ настроен решительно Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что АвтоВАЗ планирует увеличить производство автомобилей по сравнению с 2023 годом и выпустить не менее полумиллиона машин. «Производственный план будет увеличен почти на четверть, сам „АвтоВАЗ&ldqu...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Американское Минобороны заключило договор с SNC на производство новых самолётов судного дня Техника должна быть готова к 2036 году

Российский семейный кроссовер «Москвич 8» — самый большой в линейке бренда — представят в 2024 Директор по производству «Москвича» Олег Масляков рассказал, когда будет представлен новый кроссовер «Москвич 8» «Москвич 8» - кроссовер D-класса, он будет представлен ближе к концу следующего года», - заявил РИА Новости. Юрий ...

На бывшем российском заводе Toyota будут выпускать... беспилотники Планы размещения производства беспилотных летательных аппаратов в Особой Экономической Зоне (ОЭЗ) «Санкт-Петербург» в Шушарах подтвердил председатель комитета по экономической политике и стратегическому планированию Санкт-Петербурга, Алексей Зырянов. Напомни...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Раскрыты причины, по которым кошки внезапно начинают носиться как угорелые Многие владельцы кошек согласятся, что иногда их питомцы ведут себя очень странно. Например, вечером могут ни с того ни с сего начать бегать по всему дому. Вот чем это может быть вызвано.

Новинки не по карману. Большинство россиян думают о покупке подержанного автомобиля в среднем за полмиллиона рублей, согласно исследованию «Сберстрахования» 88% опрошенных россиян рассматривают покупку подержанного автомобиля, при этом они готовы потратить в среднем 556 тыс. рублей. Об этом говорится в исследовании «Сберстрахование», в котором приняли участие 13 тыс. человек в 37 российских городах с населением ...

[Перевод] Изучение мидлварей в Laravel 11 Выход Laravel 11 намечен на первый квартал 2024-го года, что может произойти уже в следующем месяце.Я начинаю новый проект, и поскольку дата выхода очень близка, решил взглянуть на то что изменится в новом крупном обновлении. Помню как пол года назад прочитал в Laravel News ...

Быстрее, чем SSD: Samsung разработала быстрые карты памяти SD Express большой ёмкости. Что это за носитель? Различные компании ведут активную работу по созданию носителей информации нового поколения. Корпорация Samsung, например, занимается разработкой карт памяти стандарта SD Express. Это не концепт, а рабочий носитель, который уже проходит тестирование. Скорость считывания данны...

Сканирование скульптур. Привет. Недавно у нас заказали 3д сканирование двух скульптур.  Компания "Башкирские самоцветы" занимается изготовлением изделий из камня и мрамора. У ребят есть заказ на изготовление рыб и голов барана. Для производства точных копий нужна 3D модель. Далее по этим моделям бу...

Контейнеры в ИТ: почему это выгодно и как их защищать В большинстве компаний с собственной разработкой наблюдается очевидный тренд на контейнеризацию. Однако чтобы получить искомые результаты, организации должны внедрить специальные инструменты, а также модифицировать процессы разработки и внедрения приложений.

Контейнеры в ИТ: почему это выгодно и как их защищать В большинстве компаний с собственной разработкой наблюдается очевидный тренд на контейнеризацию. Однако чтобы получить искомые результаты, организации должны внедрить специальные инструменты, а также модифицировать процессы разработки и внедрения приложений.

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Китай ускоряет процесс импортозамещения. Проблемы есть, но давление США пока не смогло остановить КНР Согласно ряду СМИ, Китай не только не останавливает процесс замещения зарубежных технологий собственными, но и ускоряет этот процесс. Насколько можно понять, на активность китайцев сильно влияет давление США — чем сильнее американцы «закручивают гайки», тем активнее КНР и...

Сферический коммивояжёр в вакууме и в реальной жизни Некоторое время назад я участвовал в разработке приложения для фирмы, работающей в сфере транспортной логистики. Поскольку в тот момент фирма только начинала свою активную деятельность, многие процессы были еще не автоматизированы, и менеджер каждый вечер тратил два часа сво...

Китай успешно испытал спутник Queqiao-2 для лунных исследований Китайское национальное космическое управление (CNSA) сообщило об успешном прохождении испытаний спутника-ретранслятора Queqiao-2 на орбите. По информации CNSA, спутник полностью соответствует требованиям и готов предоставлять услуги ретрансляции связи для китайской программы...

Более 70 успешных полётов Ingenuity повлияли на планы марсианской программы NASA MSR Уроки, извлечённые из работы вертолета Ingenuity, стали важной частью планов NASA по возврату образцов с Марса. 2 января Jet Propulsion Laboratories NASA сообщило о 70-м успешном полёте вертолёта Ingenuity, который состоялся 22 декабря. За время полёта длительностью 133...

Из-за плохих продаж Porsche отказалась выпускать новое поколение Panamera Sport Turismo Wagon Porsche исключает вариант универсала Sport Turismo из модельного ряда Panamera, возвращаясь к линейке только седанов. Те, кто надеялся на выпуск универсала Porsche Panamera 2024, будут разочарованы, поскольку слабые продажи существующей модели не оправдали разработку пр...

className убивает ваш UI kit UI kit играет важную роль в разработке веб-интерфейсов. Он представляет собой набор компонентов и стилей. Основная ценность его использования - это экономия времени. Вместо того, чтобы создавать каждый элемент с нуля, разработчики могут использовать уже готовые элементы, что...

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

По версии инсайдера Тома Хендерсона, следующая часть игры Battlefield уже находится в разработке Следующая игра серии переместилась из предварительного этапа разработки в полноценный продакшн

MediaTek хочет сделать Dimensity 9400 очень большим Если верить информации западных журналистов, компания MediaTek планирует представить новый процессор Dimensity 9400 с внушительными размерами кристалла. На самом деле специалисты заявляют, что новая система на кристалле будет иметь самые большие размеры среди всех систем, ко...

В Эстонии объяснили, почему европейский ВПК не наращивает производство оружия для Украины В Таллинне полагают, что Украину ведут к переговорам.

Производство российских чипов Baikal сталкивается с проблемами качества В процессе налаживания производства отечественных чипов Baikal компания «Байкал электроникс» столкнулась с высоким уровнем брака из-за недостатка квалификации специалистов и проблем с оборудованием.

Samsung разработала технологию для улучшения производственных процессов Однако, специалисты опасаются, что новая разработка приведёт к сокращению рабочих мест

Процесс демонстрации Vision Pro в магазинах Apple будет довольно продолжительным Не менее 25 минут.

Новейшая Lada Iskra готова на 80%. АвтоВАЗ собирается локализовать еще несколько десятков компонентов Глава АвтоВАЗа подтвердил, что новая Lada Iskra, которую уже сваривают и собирают в тестовом режиме, готова на 80%. Презентация новинки состоится на Петербургском международном экономическом форуме (ПМЭФ) в начале июня. «Уровень готовности можно оценить в пре...

ЦОД по мощности сравнимые с атомными электростанциями: Цукерберг считает, что нехватка электроэнергии может может помешать развитию искусственного интеллекта Генеральный директор Meta* Марк Цукерберг поделился своими мыслями о будущем центров обработки данных, ориентированных на развитие искусственного интеллекта. По его словам, дефицит ускорителей искусственного интеллекта находится в процессе устранения. Он отметил, что в ...

Инженер Tesla ответил на многочисленные заявления о том, что Cybertruck ржавеют под дождем Специалист по разработке электрогрузовиков Уэс Моррилл прокомментировал недавние жалобы владельцев Cybertruck на то, что их новый электромобиль начинает ржаветь.

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

NASA продвигается к лунным миссиям Artemis: завершено шестое испытание двигателей RS-25 для ракеты SLS NASA успешно завершило шестое из двенадцати планируемых испытаний двигателей RS-25, необходимых для сертификации лунной ракеты SLS (Space Launch System). Испытания провели инженеры в космическом центре имени Стенниса 27 января, используя полноценную испытательную пусков...

«Триколор» начинает дистрибьюцию нового канала с советским кино Компания запускает 3 ноября новый телеканал собственного производства «Советская киноклассика». В программу войдет более 1000 тайтлов, произведенных ведущими киностудиями СССР.

Kotlin Object Multiplatform Mapper Складывается такое впечатление, что дописать свою приложение для Android мне суждено не скоро. Каждый раз начиная писать новую версию (так как старая была написана не до конца, использовалась только мной, а через пару-тройку лет простоя - проще написать заново) своего прилож...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

«Это совершенно безопасно!», — в Китае создали ядерную батарейку размером меньше монеты Китайский стартап Betavolt представил, как он заявляет, первую в мире миниатюрную ядерную батарейку. Мини-аккумулятор с размерами 15x15x5 мм (меньше монеты) вмещает 63 ядерных изотопа и способен проработать в течение 50 лет без необходимости в подзарядке или каком-либо ...

Дизайн Sony, который не менялся уже несколько лет. Появились качественные изображения Sony Xperia 1 VI, который лишится экрана 21 : 9 Ресурс Android Headlines поделился свежими данными о флагманском смартфоне Sony Xperia 1 VI.   Рендеры и информация были получены от известного инсайдера OnLeaks, который почти никогда не ошибается.  Итак, как можно видеть, Sony Xperia 1 VI будет выполнен всё...

Стартовало производство ноутбука Aquarius Cmp NE355, совместимого с российскими ОС Российский разработчик компьютерного оборудования «Аквариус» представил ноутбук Aquarius Cmp NE355. Его особенностью является совместимость с основными отечественными операционными системами: Astra Linux, «Альт», «Ред ОС» и «Рос...

К выпуску готовится бюджетный смартфон Redmi 13C На днях появилась информация о том, что компания Xiaomi ведет разработку смартфона Redmi 13C, который окажется переименованной версией Poco C65.

Оцифровка бизнес-процессов как неотъемлемая часть современного бизнес-ландшафта «Если вашего бизнеса нет в интернете, то вас нет в бизнесе», – эту фразу Билла Гейтса помнят, пожалуй, все. Она оказалась пророческой: без сети жить может лишь такой бизнес, у которого нет конкурентов. Например, “сельпо” в далёкой деревне, в которой прекрасная продавщица зна...

Unistellar представила новые телескопы с технологией Multi-Depth Unistellar представила новые модели телескопов — Odyssey и Odyssey Pro. Эти технологичные разработки дадут возможность максимально упростить процесс изучения вселенной.

Новый BMW M5 будет очень мощным, а его масса составит почти 2,5 т. Но Mercedes-AMG GT 63 SE Performance будет мощнее BMW M5 следующего поколения будет одновременно очень мощным и очень тяжелым, как сообщает Сarscoops. При этом у него будет не настолько мощная силовая установка, чтобы превзийти Mercedes-AMG GT 63 SE Performance. Согласно последним данным, новый BMW M5 получит ту же баз...

Стартап Flawless Photonics успешно произвёл более 5 километров прозрачного оптического волокна на МКС Американский стартап Flawless Photonics, базирующийся в Кремниевой долине, создал на МКС более 5 километров ZBLAN всего за две недели. ZBLAN — это оптическое волокно, которое обладает высокой прозрачностью и широко используется на Земле в лазерных системах и усили...

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

Обнаружен новый тип кибератак на беспроводные зарядные устройства других пользователей Новое исследование показывает, как простое устройство может манипулировать процессом зарядки и вызвать перегрев или возгорание вашего телефона.

АвтоВАЗ дважды приостановит производство машин в 2024 году АвтоВАЗ согласовал график корпоративных отпусков в следующем году. Российский завод планирует отдыхать в мае и в июле-августе. ОБ этом сообщает Профсоюзная организация АвтоВАЗа со ссылкой на подписанный в четверг приказ №442 «О едином корпоративном отпуске в 2024 ...

Радиообсерватория ALMA раскрывает механизмы формирования планет Разгадка тайны того, как планеты, подобные Земле, формируются, является важным вопросом для понимания происхождения жизни. Предполагается, что планеты формируются, когда межзвёздная пыль и газ собираются в протопланетном диске, окружающем протозвезду. Однако до сих пор ...

Украина начала массовое производство беспилотника Backfire K1 собственной разработки 5 апреля 2024 года в социальных сетях было сделано заявление о запуске серийного производства инновационного беспилотного дрона-бомбардировщика Backfire K1, разработанного внутри страны.

Тольяттинская компания запустит производство филаментов из композиционных материалов Резидент технопарка «Жигулевская долина» 3DVolk (ООО «3ДВолк Технологии») вошел в инновационный центр «Сколково» с проектом по разработке технологии производства высокотемпературного полимерного композита для 3D-печати.

Нужна ли бумажная бирка на одежду Нужна ли бумажная бирка на одежду Этикетки из бумаги используются на одежде в практических и маркетинговых целях. На таком ярлыке изображается логотип производителя, а также указывается состав, размер и правила ухода за одеждой. Заказать изготовление бумажных или картонных э...

Российский 5G начнут тестировать на китайских смартфонах Российская компания «Иртея», принадлежащая МТС и занимающаяся разработкой базовых станций 5G, ведет переговоры с рядом китайских производителей смартфонов.

Криптография перед эпохой квантовых вычислений Недавно мы провели встречу экспертного сообщества по криптографии и квантовым технологиям, в которой приняли участие ведущие российские компании данной отрасли – «Российский квантовый центр», QApp, «Криптонит», «КриптоПро», «ЭЛВИС-ПЛЮС» и другие. На мероприятии обсудили разв...

Fastlane для Android разработчиков Рост команды требует большего вовлечения в процессы и договорённости, которые, в свою очередь, требуют автоматизации и инспекции. Можно взять bash‑скрипты и закрыть ими эту потребность, но насколько это будет удобно? Тут нужен инструмент, который упростит разр...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Новое исследование раскрыло жизненно важный процесс создания уникального типа лунных пород Исследование, опубликованное в Nature Geoscience, раскрывает ключевой этап в генезисе этих характерных магм.

Китай представил первый в мире всеобъемлющий атлас Луны Китай опубликовал первый в мире полный геологический атлас Луны, представляющий собой подробную карту поверхности спутника Земли. Этот масштабный труд, доступный на китайском и английском языках, не только демонстрирует топографию Луны с беспрецедентной точностью, но и ...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

[Перевод] Xv6: учебная Unix-подобная ОС. Глава 3. Таблицы страниц ОС управляет виртуальной памятью с помощью таблиц страниц. Виртуальная память процесса - адресное пространство, защищенное от других процессов. ОС делит память на страницы одинакового размера и отображает страницы виртуальной памяти на страницы физической памяти. Так ОС пред...

На калининградском «Автоторе» могут запустить производство кроссоверов Jetour О том, что принадлежащий Chery бренд Jetour собирается запустить сборку своих автомобилей в России, заявил генеральный директор Jetour Auto Джек Чэнь примерно 10 дней назад. А сейчас появились новые подробности на этот счет. Jetour Dashing. Изображение: Jetour Как сооб...

В России представили отечественную ОС «Аврора» 5.0 На конференции Rostelecom Tech Day публике впервые представили пятую версию отечественной операционной системы «Аврора». Презентацию провела компания «Открытая мобильная платформа», которая ведёт разработку ОС.  Фото: ОМП Новое поколение от...

NASA выделит новые гранты для New Frontier Aerospace и HyBird на инновационные исследования Две аэрокосмические компании штата Вашингтон — New Frontier Aerospace и HyBird Space Systems — получили финансирование на разработку проектов НАСА

Microsoft анонсирует новый собственный AI-чип Athena уже в следующем месяце Первое поколение проекта Athena может быть произведено с использованием 5-нанометрового процесса TSMC.

В Rutube запустили плеер для вертикальных видео Команда отечественного видеохостинга Rutube, входящая в состав «Газпром-Медиа Холдинга», объявила о запуске новой полезной функции. Как сообщила пресс-служба, в Rutube появился новый вертикальный плеер для коротких видео — собственная разработка команд...

Инсайдеры предположили разработку Hogwarts Legacy 2 из-за найденной вакансии Обозреватели портала Wccftech рассказали, что обнаружили вакансию игровой компании Avalanche Software, разработчика нашумевшей игры Hogwarts Legacy, которая косвенно подтверждает начало разработки следующей части — Hogwarts Legacy 2.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Завод Volvo в Калуге заработает в этом году. Заводы Volkswagen и Peugeot также перезапустят Завод Volvo в Калуге возобновит работу уже в этом году, а в следующем планирует выйти на полную мощность, о чем сообщил губернатор Калужской области Владислав Шапша. Вот сегодня новость о заводе Volvo в очередной раз нас догнала — то, о чем я говорил раньше, что V...

Космическая энергетика: недорогие и лёгкие солнечные панели для космических станций и космических солнечных ферм Согласно результатам нового исследования, проведённого университетами Сарри и Суонси, появилась перспектива создания лёгких и экономичных солнечных панелей, способных генерировать энергию в космическом пространстве на основе недорогих материалов.  Это первое исслед...

ChatGPT напугал пользователей бессвязными и бессмысленными ответами Начиная со вторника пользователи ChatGPT стали массово сообщать о странном поведении чат-бота OpenAI. Люди писали, что ИИ ведет себя пугающе, так, будто «сошел с ума», «бредит» или у него «случился инсульт». Разработчики OpenAI подтвердили, что им известно о проблеме и в нас...

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

Samsung готова начать промышленное производство чипов самой быстрой памяти LPDDR5T RAM Samsung собирается представить новую память в 2024 году

Японцы объединяются, чтобы противостоять США и Китаю: Toyota, Honda и Nissan буду вместе создавать ПО для машин Три крупнейших японских автопроизводителя - Toyota Motor, Honda Motor и Nissan Motor - объявили о планах начать совместную разработку программного обеспечения для автомобилей. Как сообщила газета Yomiuri Shimbun, компании намерены отказаться от индивидуального создания ...

Новый электрохимический реактор преобразует CO2 в полезные материалы Исследовательская группа инженерного колледжа Технологического университета Джорджии разработала новый процесс, который делает извлечение углекислого газа из процесса улавливания углерода менее энергоемким и более экономичным.

Suzuki начинает производство летающих автомобилей SkyDrive e-VTOL Suzuki Motor в сотрудничестве со SkyDrive представит Skycar - электрический автономный летающий автомобиль, цель которого – создать новую эру городской мобильности к 2025 году.

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

Известный инсайдер назвал размер диагонали Samsung Galaxy S24 Ultra с точностью до десятого знака после запятой Инсайдер, известный под ником Ice Universe, отметился очень интересным сообщением в соцсети Twitter: он назвал размер экрана будущего флагмана Galaxy S24 Ultra с точностью до десятого знака после запятой. Сообщение Ice Universe появилось как комментарий к сообщению дру...

Bloomber: Японский миллиардер планирует запустить ИИ-стартап для конкуренции с Nvidia У основателя SoftBank Масаёси Сона большие планы относительно новой компании по производству чипов для искусственного интеллекта. Он готов инвестировать в бизнес $100 млрд.

ИИ научился «воровать» данные товаров и создавать страницы на Amazon Amazon приятно меняет процесс продаж, представив новую ИИ-функцию. Теперь продавцы на платформе смогут без особых усилий создавать страницы товаров, просто вставив ссылку с внешнего сайта. Генеративный ИИ извлечет необходимую информацию с сайта, на который ведет ссылка, вклю...

Как визуализация приоритетности задач позволила нам ускорить процесс разработки и сделать его прозрачным для всех Какое-то время назад мы столкнулись с проблемой: сроки нашей разработки и темпы реализации начали сильно стопориться. При запуске фичей команда сталкивалась с отсутствием прозрачности при отображении объема задач в спринте. У одного разработчика в работе могло находиться сра...

Samsung Galaxy S25 будет сильно отличаться от предшественников по дизайну, по словам проверенного инсайдера Китайский инсайдер Ice Universe, сливший массу достоверной информации о разных смартфонах и регулярно получающий сведения из отдела исследований и разработок Samsung, сообщил первые детали о заметных изменениях в дизайне Samsung Galaxy S25. Дизайн серии Galaxy S25 прете...

[Перевод] Ищем баги в коде браузера при помощи фаззинга Наш браузер Ladybird неплохо справляется с качественно отформатированным веб-контентом, но я решил, что будет полезно проверить его инструментами для исследования безопасности. Поэтому сегодня мы воспользуемся Domato 

Переделали всё, не разломав ничего, или Проект по информационной безопасности (взглядом PM) Тихо скрипнула задвижка, дверь открылась, и вот они — специалисты информационной безопасности со своими задачами. Куда бежать? Кого спасать? Где лазейки? А поздно! Мы уже всё увидели, услышали и готовы действовать. Новость или само появление отд...

Palantir Technologies получила контракт на разработку новой разведывательной системы для армии США Компания Palantir Technologies, специализирующаяся на анализе больших данных, была выбрана армией США для разработки узла доступа к тактической разведывательной системе наведения (TITAN) — наземной системы следующего поколения, ориентированной на программное обеспечение. Сис...

Porsche Cayenne 2026 уже тестируется, а флагманский семиместный Porsche K1 ожидается к 2027 году Porsche наконец представит полностью электрический Macan на этой неделе, однако инженеры фирмы уже заняты разработкой старшего брата этой модели — электрического Cayenne. Фотографы-шпионы carscoops засняли модель на тестировании в Швеции, при этом пока что програм...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Учёные смогли объяснить таинственное потемнение звезды столкновением взрослых экзопланет 11 октября международная команда астрономов сообщила о первых наблюдаемых последствиях столкновения двух гигантских взрослых экзопланет, которые обращались вокруг звезды, подобной нашему Солнцу. Такие столкновения нередки в истории нашей Солнечной системы, поэтому это о...

Президент Казахстана считает, что Россия и Украина готовы к началу переговорного процесса Россия и Украина в курсе?

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Анонсированы транспортные дроны «Саранча» и «Жук». Готовится серийное производство Ученые Севастопольского государственного университета (СевГУ) представят первую модель транспортного беспилотника для приморских территорий и сложных условий эксплуатации к 2025 году. Планируется наладить серийное производство. «У нас будет первая модель оригиналь...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Honda и Nissan готовы совместно разрабатывать электромобили, чтобы выжить в условиях жёсткой конкуренции Китайский BYD начинает теснить их на родном японском рынке.

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

От новичка до эксперта в области 3D: 20 лет цифровой стоматологии в компании Dental-Technik Hamm За последние тридцать лет рабочие процессы в зуботехнических лабораториях претерпели значительные изменения, но лаборатория полного цикла Фолькера Хамма, Dental-Technik Hamm, не только не отстает от всех новых разработок, но и использует новые методы и процессы для создания ...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Производители, не нужно столько экранов. Интерес потенциальных покупателей к дополнительным дисплеям оказался очень низким, согласно новому исследованию Автопроизводители считают, что автомобильные технологии привлекают покупателей машин. Однако недавнее исследование показывает, что спрос потребителей на технологии не так уж и велик. В исследовании Future Attribute Demand Study (FADS), проведенном AutoPacific, были расс...

В России началось производство современных европейских кроссоверов Citroen C5 Aircross с 3-летней гарантией. Цена выросла ещё до начала продаж Сегодня, 27 марта, в России на калужском заводе ПСМА Рус запустили серийное производство современных европейских кроссоверов Citroen C5 Aircross. Сборкой занимается компания «Автомобильные технологии» (дилер Citroen), которая «почти получила» ОТТ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Huawei Mate70 будет работать под управлением HarmonyOS NEXT: что это означает? Ожидается, что серия Huawei Mate70 будет работать под управлением операционной системы HarmonyOS NEXT и не будет поддерживать приложения Android. Об этом сообщил китайский инсайдер Fixed Focus Digital. Еще 18 января этого года на мероприятии Hongmeng Eco Thousand Sails ...

Удовлетворённость жизнью и семейное положение оказались связаны с типом личности человека Нередко одиночество является причиной развития психических и физических заболеваний. Тем не менее новое исследование показало, что чаще всего одинокие люди — это интроверты, довольные собственным статусом.

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Как спланировать разработку без хаоса? Привет, Хабр! Меня зовут Александр, я уже около 15 лет в IT. Долгие годы занимался разработкой, но в последнее время перешел в менеджмент.Сейчас я работаю в крупной компании, которая занимается юридическими услугами. За несколько лет компания выросла, и сейчас IT-отдел ...

«Москвич» нацелился на 50 000 машин в 2024 году: будут и новые модели Коммерческий директор «Москвича» Александр Мигаль заявил, что завод намерен увеличить производство автомобилей до 50 тыс. в 2024 году. Он также сообщил, что в этом году на заводе выпустили приблизительно 27 тыс. авто, из которых с марта было продано более 9,...

Apple ведёт разработку AirPods с камерами, умных колец, а также умных очков В данный момент умные очки и смарт-кольцо находятся на ранней стадии разработки, а AirPods имеют проект с кодовым названием B798.

Хардкорная и милая игра Bumballon появилась на iOS и Android Издатель Crescent Moon Games объявил, что игра Bumballon доступна теперь не только на iOS и PC, но также и на Android. Скачать проект можно через App Store, Google Play и Steam; особенность мобильной версии Bumballon в том, что пройти её можно бесплатно, но есть одна внутрии...

Самая большая змея на Земле питалась крокодилами Титанобоа — самая крупная змея за всю историю Более 55 миллионов лет назад на Земле жили гигантские животные. По земле ходили динозавры размером с полноразмерный автобус, а в океанах плавали хищные рыбы длиной почти 15 метров. Но, пожалуй, самым ужасающим монстром было...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Исследователи SETI расширили границы отбора потенциальных сигналов с помощью метода «эллипсоид SETI» и пересмотрели архивные данные Исследователи из Института SETI представили новые разработки в области астрофизики и поиска внеземного разума. Они использовали данные миссии TESS (Transiting Exoplanet Survey Satellite) для изучения транзитных экзопланет и разработали метод, названный «эллипсоид ...

SAIC и GomSpace North America запустят мощный кубсат, объединяющий искусственный интеллект и машинное обучение Американский оборонный подрядчик SAIC заключил свой первый контракт с Пентагоном на интеграцию небольшого спутника в партнёрстве с производителем космических аппаратов GomSpace. Согласно контракту, стоимость которого не разглашается, SAIC будет разрабатывать и развёртыв...

NASA успешно провело 9 из 12 испытаний двигателя RS-25 для ракеты SLS 6 марта NASA успешно провело полноценное испытание двигателя RS-25, завершая последний этап сертификационных испытаний новых двигателей для ракеты SLS (Space Launch System). Ожидается, что эти двигатели будут использованы в будущих миссиях программы Artemis, направленны...

Метод ключевых действий В статье описан авторский метод конструирования и схематизации деятельности, помогающий отделить функциональную структуру и структуру процесса от форм её воплощения. Метод появился на стыке схем системно-мыследеятельностной методологии и опыта а...

Microsoft начинает продажи Surface Hub 3 с двумя размерами экрана Microsoft начала поставки Surface Hub 3. Пользователи также могут заказать пакет Surface Hub 3 Pack для обновления Surface Hub 2S.

Персонажи в играх Microsoft начнут управляться новейшим искусственным интеллектом Microsoft объявила о революционном шаге в разработке видеоигр: персонажи, управляемые искусственным интеллектом (ИИ), скоро станут частью игрового процесса на Xbox.

«Веб-вздутие»: сайты стали загружать слишком много данных, телефоны страдают Новое исследование Danluu.com выявило растущую проблему: веб-сайты становятся перегруженными данными, что делает их медленными или даже нечитаемыми на старых или менее мощных устройствах.

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Переделка мини компьютера в мобильный NAS Что если взять готовый мини компьютер и установить в него несколько SSD дисков для того чтобы получить мобильный NAS?В статье рассмотрена возможность доработки готового мини ПК на процессоре RK3568 до мобильного NAS с помощью дополнительного модуля дисков.Рассказывается как ...

NVIDIA формирует новое бизнес-подразделение, занимающееся разработкой специализированных чипов NVIDIA создает новое бизнес-подразделение для производства специализированных чипов для разных отраслей, включая AI, телекоммуникации, автомобили и игровые консоли.

Nvidia создаст новое подразделение для производства чипов Продукция будет использоваться в облачных вычислениях, игровой и автомобильной индустриях, а также в разработке ИИ

Huawei выпустила ноутбук с фирменным чипом Kirin 9006C Ноутбук Qingyun L540 - это новейшая разработка Huawei с процессором собственного производства Kirin и с двумя вариантами операционной системы UOS и Galaxy Kirin на базе Linux.

NVIDIA Blackwell будет продаваться за 40 тысяч долларов Совсем недавно компания NVIDIA представила свои новые графические ускорители поколения Blackwell на выставке GTC 2024, которые обойдутся потенциальным покупателям довольно дорого, поскольку производитель, по оценкам, вложила в проект несколько миллиардов долларов. Дебют ново...

Электромагнетизм и некоторые интересные явления и процессы Картинка Freepik Электромагнитные явления (молния, притягивающие свойства натёртого янтаря) люди наблюдали на протяжении всей истории, тем не менее упорядоченные научные знания впервые появились только около 200 лет назад, но, даже сейчас, несмотря на проработанность теории...

Россияне эксплуатируют автомобили в среднем 27 лет до момента утилизации В 2023 году в России средний срок службы автомобиля достиг 27 лет, о чем говорится в исследовании сети автосервисов Fit Service и аналитического агентства Gruzdev-Analyze. Начиная с 2022 года, этот показатель увеличился на три года. Исследование подчеркивает, ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Как ваш мозг формирует память: новое исследование Неврологи из Тринити-колледжа в Дублине раскрывают потрясающий процесс формирования памяти. Команда Томаса Райана обнаружила, что воспоминания хранятся не внутри клеток, а между ними, превращая ваш мозг в «скульптурный шедевр».

АвтоВАЗ запустил производство, которое простаивало более семи лет — сварочный комплект «ВИС-Авто» заработал на новом месте Сварочный комплекс подразделения «ВИС-Авто» начал работать в 2024 году уже в опытно-промышленном производстве АвтоВАЗа, о чем сообщает паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Перенос произвдства пикапов и фургонов на б...

Китай теперь может отслеживать проекты финансируемые правительством, 24/7 Китай принимает новые меры для мониторинга застройщиков в недвижимости в рамках усилий поддержать отрасль, испытывающую кризис. Фирмы, получившие государственное финансирование в размере не менее 30 миллионов юаней, теперь обязаны устанавливать оборудование для наблюдения на...

Hyundai будет перерабатывать навоз и пластиковые отходы в водород Компания Hyundai заявила, что водород будет играть «решающую роль в дорожной карте устойчивого развития Hyundai, поскольку он является экологически чистым источником энергии». Компания предполагает, что водород будет приводить в действие не только грузовики,...

Cognizant применит генеративный ИИ NVIDIA BioNeMo для поиска лекарств фармкомпаниями Cognizant развивает применение технологии генеративного ИИ, используя платформу NVIDIA BioNeMo для решения сложных задач открытия лекарств в медико-биологической отрасли, и тем самым повышает эффективность процесса разработки и ускоряет вывод новых спасающих жизни методов ле...

Знакомство с DevSecOps Guideline: правила организации безопасной разработки на уровне процессов Количество киберинцидентов постоянно увеличивается, что вынуждает компании реагировать на растущие риски и делает практику DevSecOps обязательной для соблюдения при разработке ИТ-продуктов. При этом топорное внедрение мер не просто не гарантирует безопасность разработки, а...

В СамГМУ готовятся к запуску производства цифровых тонометров Самарский государственный медицинский университет приступил к завершающему этапу, предшествующему запуску в серийное производство собственных цифровых тонометров: разработчик устройства — Институт инновационного развития СамГМУ — проводит серию исследований потребительских п...

Apple планирует производить 25% всех iPhone в Индии Apple и её поставщики, включая Foxconn, готовятся резко увеличить производство iPhone в Индии, планируя ежегодно выпускать более 50 миллионов единиц в течение следующих 2-3 лет. Этот амбициозный план повысит роль Индии в мировом производстве iPhone, на которую будет приходит...

Они не успокоятся: спрогнозирован рост кибератак на РФ в новом году Согласно прогнозу отдела кибербезопасности EdgeЦентра, в следующем году число DDoS-атак на российские компании возрастет не менее чем на 400%. Нестабильная политическая обстановка, по мнению экспертов, станет одной из причин этого роста, пишет «Ъ».

«13-летний путь исследования операционной системы». Xiaomi HyperOS основана на Android и собственной системе Vela Xiaomi официально сообщила, что конференция по запуску новых смартфонов Xiaomi 14 и операционной системы Xiaomi HyperOS состоится 26 октября. Основатель, председатель и генеральный директор Xiaomi Лэй Цзюнь также опубликовал статью «13-летний путь исследования опе...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Сбер и «Р-Фарм» втрое ускорят время разработки лекарств с помощью ИИ Сбер и компания «Р-Фарм» представили новое решение на базе искусственного интеллекта, которое позволяет ускорить процесс создания новых биологических препаратов в три раза.

Курение уменьшает ваш мозг: необратимые повреждения нашли в новом исследовании Исследователи из Медицинской школы Вашингтонского университета в Сент-Луисе обнаружили тревожные данные, свидетельствующие о том, что курение может значительно уменьшить размер мозга.

OpenAI начинает сотрудничать с американскими вооруженными силами Компания готова использовать свои наработки в сфере искусственного интеллекта для нужд Пентагона

Новый скафандр для МКС успешно прошел испытания в условиях невесомости NASA разрабатывает новый скафандр следующего поколения в сотрудничестве с американской компанией Collins Aerospace. Этот скафандр предназначен для использования МКС в замену текущей модели, используемой NASA уже более 20 лет. Прототип нового скафандра успешно прошёл исп...

Как перевести Django-сайт на разные языки: плюсы, минусы, подводные камни Рано или поздно любой сервис задумывается о расширении аудитории. И часто возникает вопрос языков, т.к. единого для всех стран не существует. В целом, это довольно стандартная задача для разработчиков, когда компания начинает работать на международную аудиторию. В случае с D...

CD Projekt ведёт переговоры со студиями по разработке мобильных игр Во время финансового отчёта перед инвесторами польской студии CD Projekt задали вопрос: «Вы думали над продажей прав на разработку мобильных игр по своим крупным франшизам третьим студиям?». Ответ дал генеральный директор, Михал Новаковски. Он сказал, что CD Projekt действит...

Производители электроники начинают переносить мощности из Калининграда Компания «Телебалт», специализирующаяся на контрактной сборке телевизоров, решила перенести производство из Калининградской области в Ленинградскую область, о чем пишет «Коммерсантъ». Владелец компании, Юлия Чинаева, подтвердила, что сейчас произ...

Быстрее ветра: Microsoft предложит метод автоматической переустановки и восстановления системы Windows 11 В феврале 2024 года Microsoft планирует представить новое обновление Windows 11 под названием Moment 5. Внутри него пользователей ждет интегрированная система самовосстановления ОС. Она пока находится в процессе разработки и появится в бета-версии для инсайдеров канала Cana...

Аминокислоты и соли: новые данные расширяют представление о потенциальной жизни на Энцеладе Недавние исследования, финансируемые NASA, принесли новые данные о поведении органических молекул, замороженных в солёной воде, — среде, имитирующей условия на спутнике Сатурна Энцеладе. Эти результаты помогут астробиологам более глубоко понять, насколько подповер...

Samsung начинает тестирование One UI 7.0 для серии Galaxy S24 Пока неясно, как Samsung назовет следующее большое обновление One UI.

Протеины грудного молока напрямую уничтожили кишечные бактерии Новое исследование выявило новое защитное свойство грудного молока: компоненты системы комплемента формируют среду кишечника младенцев-мышей таким образом, что они становятся менее восприимчивыми к определенным болезнетворным бактериям.

История снайперского прицела ПТ: от разработки до снятия с производства Статья рассказывает о разработке, производстве и снятии с производства прицела ПТ в контексте оборонной промышленности СССР в 1930-х годах. Описываются испытания опытных образцов, технические характеристики и модификации прицела, а также причины остановки его выпуска.

Семь пятниц на неделе OpenAI: с Сэмом Альтманом ведут переговоры о возвращении По сообщениям, Сэм Альтман, неожиданно отстраненный от руководства компанией OpenAI, уже ведет переговоры о возвращении в компанию. Инсайдеры сообщают о неоднозначном настрое Альтмана и намекают на возможную перестройку управления.

Маркетплейсы и бренды: чего хотят покупатели 46% опрошенных покупателей готовы приобретать на маркетплейсах товары новых для рынка брендов — исследование Data Insight и Мегамаркета.

Астрофизики исследовали разнообразие экзопланет и их связь с металличностью звёздной среды Группа астрофизиков провела исследование, чтобы более полно понять процессы формирования планет в звёздных средах с низкой металличностью. Согласно предыдущим исследованиям, формирование пылевых частиц размером, достаточным для формирования каменистых планет, требует пл...

Intel выкупила все оборудование High-NA EUV у ASML Intel подтверждает свои амбиции в области полупроводников, выкупая все сканеры High-NA EUV у ASML для ускорения разработки и производства микросхем нового поколения.

Почему при раке человек теряет вес и наступает смертельное истощение — теперь есть ответ Онкологические заболевания часто сопровождаются потерей веса Одним из симптомов некоторых раковых заболеваний является потеря веса, которая сопровождается атрофией мышц. Как сообщают специалисты, около 80% онкобольных людей страдают от этого состояния, именуемого кахексией. ...

Красноярская компания запустила новый стенд для испытания гидроцилиндров Гидроцилиндры «UNOHYDRAULIC» — динамичный развивающийся бренд. Фирма более десяти лет успешно занимается разработкой и  производством качественных отечественных аналогов зарубежных гидроцилиндров — Rexroth Bosch Group, Parker, Hydac, Duplomatic, Hydoring, Hercules, и д...

Франция и Германия договорились о разработке нового танка MGCS для замены Leopard и Leclerc Франция и Германия подписали партнерское соглашение о разработке основного боевого танка следующего поколения

К концу следующего года SK hynix потратит $14,5 млрд на строительство нового предприятия по выпуску памяти HBM Производство будет расширяться не только в США.

Таблетки “для ленивых” смогут заменить занятия в спортзале Ученые создали препарат, который можно будет применять вместо занятий спортом Физическая активность необходима человеческому организму, так как наше тело эволюционировало для постоянного движения. Если же человек начинает вести малоподвижный образ жизни, например, целый день...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Нидерландский стартап потратит $16 млн инвестиций на производство новых твердотельных батарей Новые инвесторы позволили LionVolt выделить в общей сложности более 32 млн долларов на следующий этап развития компании.

Доказано: одинаковые запахи нам кажутся разными, если дать им разные названия Участники нового исследования должны были понюхать два предмета (например, два цитрусовых), а затем сказать, насколько они похожи. Выяснилось, что идентичные запахи, имеющие разные названия, оценивались как менее похожие, чем те, что назывались одним и тем же словом.

Microsoft подтвердила, что следующая версия Windows будет 24H2, а не Windows 12 Похоже, что компания Microsoft еще не готова отправить Windows 11 на покой.

Астрономы обнаружили планету размером с Землю, наполовину состоящую из лавы HD 63433 d - самая маленькая из подтвержденных экзопланет возрастом менее 500 миллионов лет.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)