Социальные сети Рунета
Среда, 22 мая 2024

Samsung в следующем году собирается увеличить объёмы выпуска HBM3 в два с половиной раза И потратит на это рекордную сумму капитальных вложений.

Samsung продолжает сокращать объёмы выпуска памяти типа NAND Спрос пока не растёт в той степени, чтобы вернуться к наращиванию объёмов выпуска.

Apple наращивает производство iPhone 15 Pro из-за высокого спроса В этом году компания Apple реализовала целый ряд эксклюзивных улучшений для смартфонов iPhone 15 Pro и iPhone 15 Pro Max, что объясняет, почему они пользуются таким большим спросом. Настолько большим, что компания Samsung увеличила производство OLED-панелей для 6,1-дюймовой ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Смартфоны Huawei Mate 60 «взорвали рынок». Huawei резко увеличила план по выпуску новых смартфонов Из-за высокого спроса на серию Mate 60 компания Huawei поставила цель по поставкам смартфонов в следующем году на уровне 100 миллионов единиц. Это на 40% больше, чем компания планировала до выпуска серии Mate 60. Лукас Чжун, аналитик Canalys, подтвердил, что новые проду...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

МегаФон успешно внедрил на сети российское транспортное оборудование Новые маршрутизаторы способны увеличить пропускную способность транспортной сети до 100 Гбит/c, что позволит решить задачу по импортозамещению и справиться с возрастающим объемом передаваемого интернет-трафика.

АвтоВАЗ увеличит выпуск автомобилей до 500 тысяч штук Президент АвтоВАЗа, Максим Соколов, объявил о планах компании увеличить производство автомобилей до 500 тысяч в следующем году. На итоговом заседании ООО "СоюзМаш России" в Челябинске он подчеркнул, что текущий план компании на 2023 год составляет около 400 тысяч автомобилей...

Samsung в этом году увеличит объёмы поставок памяти HBM более чем в три раза Сейчас выпуск памяти снова приносит компании прибыль, а не убытки.

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

На MWC 2024 ожидается анонс Tecno Pova 6 Pro с увеличенным объемом оперативной памяти Tecno готовит к выпуску Pova 6 Pro – смартфон с улучшенными характеристиками и новой технологией зарядки.

В 2023 году Fort Telecom увеличил производство уличных коммутаторов на 40% Для удовлетворения растущего спроса компания сфокусировалась на выпуске моделей для крупных инфраструктурных проектов, а также вывела на рынок первый сертифицированный взрывозащищенный коммутатор.

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

В следующем году HBM будет отвечать за 10% объёмов выпуска всей памяти DRAM А в денежном выражении она займёт более 30%.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Россия на 250% увеличила производство реактивной установки ТОС-1А "Солнцепек" Уралвагонзавод увеличил производство ТОС-1А Солнцепек в ответ на повышенный спрос со стороны ВС РФ в условиях конфликта на Украине.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Такую память в видеокартах GeForce или Radeon, к сожалению, ждать не стоит. Samsung и Hynix распродали все запасы HBM3 до 2025 года Компании Samsung и Hynix фиксирует значительный рост спроса на память HBM3 и HBM3e. У обеих компаний загодя распроданы все запасы до 2025 года.  В потребительских видеокартах мы такую память вряд ли когда-либо увидим, но огромный спрос на ускорители для ИИ формиру...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Первые отечественные мосты для КамАЗов К5 будут произведены во втором квартале 2025 года Директор автозавода КамАЗ Антон Сарайкин рассказал, когда начнется выпуск отечественных мостов для отечественных грузовиков КамАЗ К5. Случится это еще не скоро, но КамАЗ уже ведет активные работы по подготовке к этому. Изображение: КамАЗ «Основная идея проекта &n...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

КамАЗ даст бой заполонившим рынок «китайцам». В 2024 году КамАЗ увеличит производство флагманских грузовиков К5 в три раза В текущем году КамАЗ ещё пока остается лидером рынка российских грузовиков, но китайцы уже отвоевали долю в более чем 63% (согласно свежим данным «Автостат Инфо»). Возможно, в следующем году маятник качнется в другую сторону: КамАЗ собирается втрое нара...

iPhone 16 и 16 Plus получат 8 ГБ оперативки и поддержку Wi-Fi 6E По сообщениям аналитика Джеффа Пу, Apple планирует оснастить свои следующие модели iPhone 16 и iPhone 16 Plus увеличенным объемом оперативной памяти в 8 ГБ, что является заметным улучшением по сравнению с 6 ГБ в моделях iPhone 15 и iPhone 15 Plus.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

МЭА ожидает увеличения спроса на электроэнергию в два раза на фоне развития ИИ-технологий По данным Международного энергетического агентства (МЭА), стремительное развитие центров обработки данных для функционирования искусственного интеллекта (ИИ) и криптовалют, увеличит вдвое спрос на электроэнергию для них с 2024 по 2026 год

У Hyundai снова получился хит. Очередь на новейший кроссовер Exter за 7 тыс. долларов растянулась уже на 9 месяцев Hyundai представила кроссовер Exter в Индии в июле текущего года, а сейчас появились данные о заказах, и они впечатляют: уже собрано более 75 тыс. заявок на покупку Exter. А ведь от момента премьеры прошло всего три месяца. Изображение: Hyundai Само собой, производство...

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

Немного о сварочных аппаратах в быту обычного человека и зачем оно надо Картинка Vwalakte, Freepik Сварка является одним из уникальных способов соединения металлических деталей. В мировых масштабах объём сварочных работ и получение с их помощью производных изделий растёт быстрее, чем увеличивается производство металла на заводах. Маленький сп...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Полный вперёд: SSD дорожают, а их производители собираются получить солидную прибыль Во время пандемии коронавируса значительно повысился спрос на электронные устройства и их компоненты. Основным фактором стал перевод огромного количества сотрудников самых разных компаний на удалённый режим работы. Соответственно, понадобились ноутбуки, камеры, принтеры и пр...

Фил Спенсер заверил сотрудников: Xbox продолжит выпускать консоли Бизнес-обновление, в рамках которого будут раскрыты планы на будущее, ожидается на следующей неделе. Слухи о выпуске двух моделей Xbox следующего поколения могут оказаться правдой, но пока что информация остается неопределенной. Спенсер подчеркнул, что проекты Xbox, такие ка...

Li Auto выпустила уже 10 тыс. кроссоверов Li Auto L6 Li Auto наращивает объемы производства новейшего кроссовера Li Auto L6. На рынок машина вышла лишь 18 апреля, поначалу отгрузки исчислялись сотнями, но уже сейчас компания отчиталась о выпуске 10 тыс. машин. В следующем месяце объем выпуска доберется до отметки в 20 тыс...

Когда можно будет купить супервидеокарты GeForce? Стали известны даты анонса и старта продаж GeForce RTX 40 Super Компания Nvidia представит видеокарты GeForce RTX 40 Super уже 8 января, но в продажу они попадут не сразу и не все вместе.  Согласно свежим данным, каждая модель Super будет выходить отдельно, хотя все успеют до конца следующего месяца.  Первой в продажу пос...

Правительство РФ опубликовало планы по объёмам выпуска российских самолётов МС-21 и SSJ-New Кроме того, в ближайшие годы планируется выпуск других моделей самолётов.

40-мегапиксельная беззеркальная камера Fujifilm X-T5 стала хитом: из-за высокого спроса Fujifilm перестала принимать заказы на нее Запредельно высоким спрос может быть не только на смартфоны, но и, например, цифровые камеры. Именно по причине очень высокого спроса, за которым не поспевает производство, Fujifilm прекратила принимать заказы на свои камеры Fujifilm X-T5 и X-S20, а также комплекты X-T5...

Линейки iPhone 16 и Galaxy S24 не получат прибавки к объёму ОЗУ в сравнении с предшественниками, несмотря на ажиотаж вокруг ИИ Смартфоны Samsung линейки Galaxy S24 и аппараты линейки iPhone 16, вероятно, сохранят те же варианты объёма оперативной памяти, какие сейчас есть у актуальных моделей.  Аналитики Mizuho Securities, на которых ссылается инсайдер Revegnus, говорят, что растущий спро...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Samsung и SK hynix прекращают выпуск DDR3 в угоду всё более популярной HBM3. Что будет дальше? На днях стало известно, что два крупнейших производителя оперативной памяти — компании Samsung и SK hynix — решили остановить линии, специализировавшиеся на выпуске DDR3. Вместо этого освободившиеся мощности планируется использовать для расширения объёмов выпуска ИИ-оптимизи...

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Российский вездеход Visuva Tank с моторами от Land Cruiser 100 и Land Cruiser 200 оценили в 7 млн рублей Красноярская фирма Visuva раскрыла стоимость и объём выпуска гусеничных вездеходов Tank. Цена одной машины составит 7 млн рублей, а в год планируют выпускать не более 15 вездеходов. Уже известны и гарантийные обязательства: 300 моточасов или 1 год. Visuva Tank будут ос...

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

«Вводится в строй новый цех»: директор «Калашникова» рассказал про увеличение выпуска БПЛА Выпуск новых БПЛА планируется увеличить кратно.

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Узбекистан уже стал вторым по объёму рынком для Chevrolet после США В 2023 году Узбекистан заметно увеличил свою долю в мировых продажах автомобилей Chevrolet, поднявшись на второе место после США по объему продаж этого бренда. Общее количество проданных автомобилей Chevrolet в Узбекистане в 2023 году составило 369 980 единиц, что на 30...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

На заводе «Амурсталь» увеличит выпуск черной металлургии на 30% Металлургический завод «Амурсталь» в Комсомольске-на-Амуре готовится к значительному увеличению производства. По словам министра промышленности и торговли региона, Евгения Романова, завод планирует увеличить выпуск металлической продукции на 30% по итогам текущего года, пише...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

TSMC расширяет производство 3-нм чипов для удовлетворения растущего спроса TSMC планирует увеличить ежемесячное производство 3-нм чипов до 100 000 единиц к середине 2024 года.

«МегаФон» внедрил российское транспортное оборудование в своей сети «МегаФон» завершил тестирование и в качестве пилотного проекта внедрил на своей сети транспортное IP-оборудование от российской компании B4Com. Об этом сообщила пресс-служба оператора.  Как отметили у оператора, по своим характеристикам маршрутизаторы ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Apple сократила объём заказов на экраны OLED для iPad Pro сократился на 30% Apple не уверена в большом спросе на новые iPad Pro с дисплеями OLED

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Intel увеличила выручку благодаря восстановлению спроса на чипы для ПК Американский производитель микропроцессоров подвел итоги первого квартала 2024 года.

Стоит подождать iPhone 17? Аналитики JP Morgan считают, что именно в следующем году Apple реализует значимые новшества, связанные с ИИ Аналитики JP Morgan считают, что какие-то значимые технологии, связанные с искусственным интеллектом, появятся только в линейке iPhone 17 в 2025 году.  Мы уже слышали, что грядущая iOS 18 должна получит множество таких функций, но, видимо, в следующем году нас ждё...

В России рухнули цены на Geely Monjaro. Хитовый кроссовер официально подешевел на 500 тысяч рублей Geely обрушила цены на любимый многими россиянами кроссовер Monjaro: с 1 мая он стал доступнее на 300-500 тыс. рублей. Причем речь о модели 2024 года выпуска, так как модель 2023 года выпуска из прайса вовсе исчезла. Изображение: Geely Снижение стоимости базовой версии...

Российский двигатель, у которого с литра сняли 105 л.с., и это без турбины. Представлен мотор Gimura 1000s В России, похоже, появился совершенно новый двигатель внутреннего сгорания, который к тому же весьма неплох по своим параметрам.  Некие энтузиасты из Казани во главе с Рустемом Зариповым — инвестором и разработчиком двигателя — показали мотор Gimura 10...

Московские производства увеличили выпуск комплектующих для электротранспорта Министр правительства Москвы Владислав Овчинский сообщил о росте производства комплектующих для электротранспорта в столице. По его словам, московские компании активно развивают производство инновационных зарядных станций и новых видов аккумуляторных батарей. По итогам прошл...

Слух: новое поколение Xbox может выйти в 2026 году Датамайнер Call of Duty, известный под ником The Ghost of Hope, со ссылкой на собственные источники сообщает, что следующее поколение игровых консолей Xbox может выйти уже в 2026 году. По его словам, стартовая линейка игр будет включать в себя очередную часть Call of Duty. ...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

В эпоху бурного развития ИИ память HBM стала новым золотом: SK Hynix сообщила, что все объемы выпуска HBM на 2024 год и на большую часть 2025 года распроданы SK Hynix устами своего генерального директора сообщила о том, что вся объемы памяти HBM, которые будут выпущены в 2024 году, уже распроданы. Более того, распродана и большая часть объема HBM, который произведут в 2025 году. Ранее похожее заявление сделала Micron, но тол...

7 причин почему ноутбук не включается и что с этим делать Даже если устройство совсем новое – никто не гарантирует, что вам не понадобится ремонт ноутбуков в Москве. Есть те неисправности, с которыми можно справиться собственными силами. Но есть и те, которые требуют вмешательства специалиста. Рассмотрим несколько причин, требующих...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Десять ведущих компаний, занимающихся разработкой ИС, увеличили квартальную выручку на 17,8% Десять ведущих компаний, занимающихся разработкой ИС, на волне сезонного потребительского спроса и продолжающегося бума ИИ увеличили квартальную выручку на 17,8 % в 3Q23.

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Audi R8 — всё. Последний автомобиль сошёл с конвейера, замены ему не предвидится После того, как последний TT был выпущен в ноябре 2023 года, еще одна модель Audi уходит на покой. Последний R8 сошел с конвейера на заводе Audi Bollinger Hofe в Хайльбронне, Германия. Последней машиной стало купе Vegas Yellow в исполнении Performance Quattro Edition с ...

Intel поможет наладить выпуск недорогих ноутбуков в Индии Только вряд ли за счёт поставок дешёвых процессоров.

Китайская YMTC наладит выпуск 120-слойной памяти типа 3D NAND, чтобы избегать санкций США Только вряд ли это поможет надолго.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Проблемы с запчастями не только у АвтоВАЗа, но и у Chevrolet. Сотни некомплектных Corvette Z06 2023 не могут доставить клиентам Многие покупатели, заказавшие Corvette Z06 2023 года, сталкиваются с задержками в доставке своих автомобилей из-за сохраняющихся производственных проблем на фоне нехватки запчастей. Клиенты подтверждают, что они до сих пор не получили точную дату поставки, хотя давно оп...

Ноутбук Lenovo ThinkPad L14 G5 оценили от 1000 долларов Компания Lenovo пополнила ассортимент ноутбуков моделями ThinkPad L14 G5 и ThinkPad L16 G1, которые основаны на процессорах AMD Ryzen 7035 и Intel Core Ultra. Новинки характеризуются экранами с разрешением 1920:1200 пикселей, соотношением сторон 16:10 (в предыдущем поколении...

На фоне отказа от DDR4 в процессорах Intel и AMD темпы перехода на DDR5 будут расти Samsung пророчит рост спроса на модули ОЗУ нового стандарта и наращивает объёмы производства.

«Историческая миссия» GeForce GTX 16 завершена. Nvidia прекратила выпуск GPU для видеокарт GTX 1660 Ti/Super, GTX 1660, GTX 1650 Super, GTX 1650 и GTX 1630 В декабре прошлого года появились слухи о том, что Nvidia планирует прекратить выпуск графических процессоров для ускорителей линейки GeForce GTX 16, и сейчас появилось подтверждение тому: ресурс Board Channels Forums сообщил о том, что выпуск GPU для линейки GeFor...

В Санкт-Петербурге отказываются от европейских авто Резкое падение спроса на европейские автомобили в Санкт-Петербурге спровоцировано как сложностями с параллельным импортом, так и растущей популярностью китайских марок. Эксперты прогнозируют, что "европейцы" вряд ли вернутся на российский рынок в ближайшее время.

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Apple представит новинки на этой неделе: какие устройства могут показать Apple планирует анонсировать новые продукты, опубликовав пресс-релизы на своем сайте на этой неделе, о чем сообщил MacRumors проверенный источник, знакомый с ситуацией. Хотя продукты, которые Apple планирует анонсировать, не разглашаются, ходят слухи о новых iPad, Mac и...

В Ubisoft рассказали, когда стоит ждать релиз The Division Resurgence и Rainbow Six Mobile Несмотря на все проблемы игровой индустрии, Ubisoft всё равно увеличила свои доходы за прошлый финансовый год. Также французская компания собирается выпустить 2 мобильные игры: The Division Resurgence и Rainbow Six Mobile. К сожалению, летом 2024 года их ждать не стоит — суд...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

В следующем году TSMC урежет капитальные затраты до $25 млрд Спрос восстанавливается не так быстро.

AMD увеличила объёмы поставок процессоров Ryzen на 62% Но средняя цена реализации при этом снизилась на 10%.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Два крупных российских дилера отказались ввозить машины по параллельному импорту — поставки подорожали, а спрос упал Чтобы увеличить интерес к машинам, они собираются устроить «распродажу».

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Intel Core i7/i9, Nvidia RTX 4070 Super, 32 ГБ/1 ТБ, в компактном корпусе. Представлен Asus ROG Ice Blade X 2024 Представлен компактный настольный компьютер Asus ROG Ice Blade X 2024, который оснащен видеокартой Nvidia RTX 4070 Super и современными процессорами Intel. Цены в Китае: i7-14700KF, RTX 4070 Super, 32 ГБ, 1 ТБ: 1950 долларов; i9-14900KF, RTX 4070 Super, 32 ГБ, 1 Т...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Nvidia: Спрос на чипы искусственного интеллекта удвоил объём продаж компании в 2023 году Новые финансовые показатели, опубликованные 21 февраля, говорят о хорошем положении Nvidia на фондовом рынке. Причиной стал спрос на чипы искусственного интеллекта.

Sony приостановила выпуск гарнитуры виртуальной реальности PSVR2 из-за низкого спроса AR и VR технологии пока так и не стали массовыми

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

HP Inc увеличила объёмы продаж ПК, но сократила выручку Она стала продавать больше дешёвых компьютеров.

Моддер увеличил объем памяти Steam Deck до 61 ТБ Хотите поиграть в игры объемом более 100 ГБ? Нет проблем.

Как увеличить объём «Google Диска» бесплатно Небольшая хитрость позволит буквально удвоить доступное вам место.

Samsung готовит три версии Galaxy Watch 7 с увеличенным объёмом памяти Судя по всему, часы представят этим летом.

Xiaomi утраивает объёмы производства своего электромобиля из-за большого спроса Электромобиль Xiaomi пользуется большим успехом

Первые автомобили УАЗ планируют начать выпускать на заводе «Чеченавто» в 2024 году Председатель правительства Чечни Муслим Хучиев заявил, что выпуск автомобилей УАЗ на автомобилестроительном заводе «Чеченавто» планируют начать в 2024 году. «Вы уже можете проехать на чеченской "Газеле", на чеченском "Автовазе", но,...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Ford пересматривает свою стратегию по выпуску полностью электрических автомобилей Это может быть связано с недостаточным спросом на электрокары.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Беларусь увеличивает поставки яиц в Россию в ответ на растущий спрос Беларусь увеличила экспорт куриных яиц в Россию в январе 2024 года, откликаясь на возрастающий спрос и высокие цены на российском рынке

Концерн «Телематика» укрепляет технологический суверенитет России На предприятии наладят выпуск всей линейки оборудования компании, которая насчитывает более 20 различных электронных устройств, а также контрактную сборку радиоэлектроники и аппаратуры, применяемых в проектах в области интеллектуальных транспортных систем. Новая площадка поз...

Кэш-память 3-го уровня в будущих процессорах Intel Xeon Granite Rapids могут увеличить в 1,5 раза Компания продолжает наращивать объёмы кэш-памяти.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

«Аквариус» и Росатом хотят выпускать микроконтроллеры для шифрования данных По сообщению издания «Коммерсантъ», Росатом и «Аквариус» создают совместное предприятие по выпуску микроконтроллеров для шифрования данных в вычислительной технике. Об этом изданию рассказал источник, знакомый с проектом, но сами компании информа...

Samsung Galaxy S24 обогнал iPhone 15 Pro Max в рейтинге дисплеев DxOMark Кроме того, младший представитель серии Galaxy S24 опередил и флагманский смартфон Galaxy S24 Ultra, хотя разница вряд ли будет заметна пользователям

Apple сокращает производство Apple Vision Pro и отказывается от выпуска новой модели По данным аналитиков, спрос и продажи Apple Vision Pro существенно упали с момента выхода

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

TSMC увеличивает производство корпусов CoWoS на 20%, чтобы удовлетворить растущий спрос TSMC увеличит свои мощности по производству корпусов ( CoWoS ) в ответ на стремительно растущий спрос со стороны таких крупных клиентов, как Nvidia, Apple, AMD, Broadcom и Marvell.

В следующем году NVIDIA спрос на ускорители вычислений будет измеряться 5,2 млн экземпляров А в этом всё ограничится 4,3 млн, но это довольно много.

Энтузиаст обошел ограничения на апгрейд MacBook Pro Пользователь соцсети X под ником lipilipsi увеличил объём накопителя своего MacBook Pro в восемь раз

ASUS представила портативную консоль ROG Ally X с увеличенным объемом ОЗУ и емкой батареей Также в устройстве используется SSD формата M.2 2280.

Пятёрка крупнейших поставщиков в ноябре увеличила объёмы отгрузок ноутбуков на 10% По сравнению с октябрём текущего года.

Intel хотя бы частоту немного подняла. Процессоры AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, похоже, вообще ничем не отличаются от предшественников В Сети появились первые результаты тестирования мобильных процессоров AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, которые выйдут в начале следующего года.  Новинки набирают 2200-2400 баллов в однопоточном режиме, а в многопоточном результаты в том же поряд...

SanDisk представила первую в мире SD-карту емкостью 4 ТБ, которая справится с записью видео в 8K Нуждаетесь в карте памяти большого объема? Тогда обратите внимание на 4ТБ модель от SanDisk, обладающую впечатляющей производительностью и способную справиться с записью видео в 4K, а то и 8K.

PS6 и новый Xbox не смогут удивить скачком производительности Следующее поколение игровых консолей, таких как PlayStation 6 и новый Xbox, вряд ли поразят пользователей резким увеличением производительности.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

В Эстонии признали, что Россия превысила «ожидаемый» объём выпуска боеприпасов Ожидаемый, простите, кем? Эстонией?

Иран пообещал ответить Израилю за нанесение удара по зданию консульства в Дамаске Израиль почему-то считает себя неуязвимым, хотя уже больше полугода не может справиться с ХАМАС на крошечном клочке земли.

Смартфоны iPhone 17 могут получить совершенно новые аккумуляторы, которые будут более ёмкими, выносливыми и с более быстрой скоростью зарядки Смартфоны Apple в последние годы могут порадовать автономностью, но не могут скоростью зарядки. Возможно, в обозримом будущем всё изменится. Как сообщается, компания работает над технологией, которая сделает аккумуляторы более выносливыми, плотными, а заодно увеличит ск...

На FINOPOLIS-2023 «Ростелеком» и Альфа-Банк выпустили цифровые финансовые активы для широкого круга инвесторов В рамках форума финансовых инновационных технологий FINOPOLIS состоится выпуск цифровых финансовых активов для широкого круга корпоративных и частных инвесторов в режиме онлайн. 8 ноября 2023 года Альфа-Банк организует сбор заявок для выпуска ЦФА «Ростелекома» объемом 1 млрд...

AMD может выпустить по-настоящему дешёвую видеокарту с 16 ГБ памяти. Это будет Radeon RX 7600 16GB Пока Nvidia готовит урезанную GeForce RTX 3050 с 6 ГБ памяти и 96-битной шиной, компания AMD, похоже, готова выпустить усиленную Radeon RX 7600.  Согласно данным ресурса Benchlife, компания может выпустить такой адаптер с 16 ГБ памяти. Никаких других подробностей ...

Несмотря на «слабое» восстановление рынка, TSMC увеличила прибыль на 8,9% Тайваньский техногигант TSMC подтвердил свой оптимистичный прогноз на выручку и чистую прибыль в 2024 году. И это несмотря на заявление главы компании о том, что рынок технологий восстанавливается «слабо» и «медленно» даже на фоне высокого спроса на развивающийся ИИ.

«Боевые маги» Intel будут конкурировать уже с GeForce RTX 50. Линейка видеокарт Battlemage всё ещё запланирована на следующий год Свежая дорожная карта Intel подтверждает неизменность планов компании относительно выпуска видеокарт Arc нового поколения.  Линейка Battlemage запланирована на следующий год. Это же компания утверждала и ранее, но у Intel сроки выхода новых продуктов нередко сдвиг...

На «Камазе» в 2025 году собираются начать выпуск туристических автобусов Изображение иллюстративное По словам гендиректора «Камаза» Сергея Когогина, которые он привел в рамках международного экономического форума «Россия — Исламский мир: KazanForum», в следующем году предприятие приступит к выпуску туристического автобуса, разрабатываемого специ...

Власти США выделили $35 млн на увеличение объёмов выпуска чипов для F-35 На территории страны, разумеется.

На предприятии Tesla накопилось около 40 готовых пикапов Cybertruck Объёмы выпуска медленно, но неуклонно растут.

Нужно срочно бежать за SSD? Аналитики прогнозируют рост цен на память NAND ещё на 50% или даже выше Цены на SSD в следующем году, похоже, вырастут сильнее, чем предполагалось.   создано DALL-E Если ранее были данные о росте цен на память NAND на 10-20%, то теперь аналитики TrendForce говорят о полуторакратном росте или даже более. Сообщается, что производители п...

Intel удалось увеличить среднюю цену реализации процессора в настольном сегменте на 5% Объёмы поставок при этом сократились на 9%, а выручка упала на 4,6%.

После двух пиковых лет спрогнозирован спад производства лесной промышленности Финляндии Газета Kauppalehti: спрос на продукцию лесной промышленности в Финляндии "явно снизится" в следующем году после двух пиковых лет.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Шаг вперёд, два назад: за прошлый год Apple увеличила количество поставщиков из Китая Хотя перед этим и отказалась от услуг некоторых из них.

Китай сможет удвоить объёмы выпуска чипов за пять или семь лет Это быстрее, чем рассчитывают многие.

Через два года Seagate начнет выпуск жестких дисков объемом более 40 ТБ Благодаря применению передовых технологий

Производство Lada Vesta NG с АКПП начнется на рубеже 2023-2024 годов. Lada Granta с АКПП на подходе В пресс-службе АвтоВАЗа заявили, что производство Lada Vesta NG с автоматической коробкой переключения передач начнется на рубеже 2023-2024 годов. Что касается Lada Granta с АКПП, то проект также находится в работе, однако конкретные сроки производитель пока что назвать...

Ижевский механический завод увеличит выпуск продукции по ГОЗ на 60% Ижевский завод увеличит производство военной техники по ГОЗ на 60%

Выпуск твердотельных аккумуляторов Samsung SDI обещает наладить с 2027 года Плотность хранения заряда в них увеличена на 40%.

Ставка Ford не сыграла, поэтому компания меняет стратегию после отказа от популярных моделей с ДВС (Mondeo, EcoSport, Fiesta и Focus) и столкновения с низким спросом на электромобили В феврале 2021 года Ford объявил о планах продавать в Европе только электрические легковые автомобили с 2030 года. Однако более медленное, чем ожидалось, внедрение электромобилей вынуждает компанию пересмотреть свой первоначальный план. Новая стратегия не исключает прод...

NVIDIA увеличит выручку в следующем году на 30% до $150 млрд В этом убеждены представители UBS.

Edgewater Research: с середины следующего года рост спроса на ускорители вычислений NVIDIA будет замедляться Клиенты всё чаще выражают недовольство ценовой политикой компании.

Объём гособоронзаказа в России после начала СВО увеличился в 10 раз — глава «Ростеха» Увеличился выпуск вообще всего.

MyDrivers: SSD в 2024 году могут подорожать на внушительные 55% Из-за сокращения объемов выпуска крупнейшими производителями и не только

Видеокарты Radeon RX 7900 XTX оказались проблемными. TinyCorp не смогла решить проблемы с системой из шести карт и смотрит в сторону конкурентов Похоже, видеокарты Radeon RX 7900 XTX пока всё же не очень подходят для задач ИИ, несмотря на отличное соотношение цены, производительности и объёма памяти. Как минимум компания TinyCorp, которая недавно представила систему на основе шести RX 7900 XTX, заявила, что прио...

Sony прекратила производство PS VR2 Согласно отчёту информационного издания Bloomberg, компания Sony официально приостановила производство новых экземпляров шлема дополненной и виртуальной реальности PS VR2 до тех пор, пока не сможет продать текущие остатки со склада. Анонимные источники издания Bloomberg такж...

Apple Vision Pro расширяется на 7 стран после WWDC 2024 Apple расширяет продажи устройства смешанной реальности Vision Pro на семь стран после завершения конференции WWDC 2024. Расширение поможет увеличить объемы продаж и укрепить позиции на международном рынке, компенсируя снижение спроса в США.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Россияне ждали эту модель: АвтоВАЗ рассказал о спросе на Lada Vesta NG с автоматом АвтоВАЗ заявил, что спрос на новую модификацию Lada Vesta NG с вариатором достаточно заметен, однако так как модель вышла совсем недавно данные по ее продажам не приводятся. Ранее стало известно, что в марте суммарно было продано 11,7 тыс. Lada Vesta NG. В этом году Авт...

В России снизились объёмы производства оптоволокна на 11% В России на 11% снизилось производство оптоволоконных кабелей из-за снижения спроса у телеком компаний

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Возрождение спроса на смартфоны в Китае начнётся с этого квартала Впервые за десять кварталов объёмы продаж смартфонов вырастут.

Китайцы продолжают развлекаться с видеокартами, превращая их в «монстров Франкенштейна». Уже созданы RTX 3080 20GB и Radeon RX 580 16GB В Китае развернулась полноценная охота за видеокартами, которые хотя бы каким-то образом можно превратить в ускорители для ИИ. Мы уже говорили о переделке RTX 4090 и RTX 3090, но китайцы пошли дальше и стали допаивать память ещё менее производительным адаптерам.  ...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

ИИ написал «Тотальный диктант» на тройку с плюсом Искусственный интеллект (ИИ) впервые принял участие в Тотальном диктанте и удивил разработчиков своим результатом, он справился лучше, чем ожидалось. Хотя и не без ошибок, ИИ продемонстрировал хорошие знания грамматики, за что получил оценку между тройкой и четверкой.

Рынок смартфонов в США просел на 10% Увеличить долю удалось лишь Apple, хотя продажи айфонов упали.

МТС планирует выпускать до 20 тысяч базовых станций в год Компания «Иртея» (дочерняя структура оператора МТС) планирует с 2025 года выпускать по 10-20 тысяч базовых станций ежегодно. Об этом рассказал президент МТС Вячеслав Николаев на форуме «Телеком 2024». По его словам, в 2024 году МТС планирует собр...

iPhone 16 от Apple может иметь ограниченные функции искусственного интеллекта По слухам, семейство iPhone 17 будет обладать увеличенным объемом оперативной памяти и более мощными процессорами NPU

В следующем году Huawei получит около 33 млн 7-нм процессоров А ещё через пару лет увеличит это количество до 72 млн штук.

Российская компания «Гравитон» начала выпуск отечественных SSD емкостью до 2 ТБ Уже сейчас «Гравитон» производит модели объемом от 256 ГБ до 2 ТБ.

Huawei поможет своим партнёрам обойти Tesla по объёмам продаж электромобилей Но только с учётом выпуска гибридов.

SK hynix готова приступить к наращиванию объёмов выпуска DRAM уже в этом квартале С памятью NAND это случится только во втором полугодии.

У часов Samsung Galaxy Watch 7 будет три модели вместо двух Объём встроенного хранилища в умных часах Galaxy Watch 7 будет увеличен в два раза

Стоимость памяти DDR5 может вырасти на 20% из-за повышения спроса на память HBM для ИИ По мнению аналитиков, производители сокращать производственные мощности DDR4/5, чтобы перенести их на выпуск HBM

Южнокорейский производитель сокращает производство лития на фоне ослабления спроса на электромобили Ecopro Innovation может сократить выпуск на одну пятую, в зависимости от ситуации на рынке электромобилей, заявил генеральный директор Энтони Ким.

PlayStation 6 станет самой производительной консолью на рынке Sony уже больше года разрабатывает свою следующую консоль – PlayStation 6. Хотя полные технические характеристики приставки ещё окончательно не определены, Sony тесно сотрудничают с различными игровыми студиями, чтобы выявить аппаратные нужды для игр следующего поколения. …

В следующем году объёмы поставок ПК вырастут почти на 8% В этом убеждены эксперты Canalys.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

«Следующий смартфон Nokia». HMD Global прямо намекает на продолжение выпуска смартфонов легендарной марки Компания HMD Global ранее выпустила ряд тизеров, которые предполагали, что она откажется от бренда Nokia и запустит модели собственного бренда, что вызвало критику со стороны многих СМИ и поклонников Nokia. Вчера компания опубликовала на YouTube новое видео, утверждая,...

Creality обновила линейку 3D-принтеров Ender-3 Новый модельный ряд включает три варианта — Ender-3 V3 SE, Ender-3 V3 KE и флагманский Ender-3 V3. Еще один — Ender-3 V3 Plus c увеличенным рабочим объемом — будет добавлен в ближайшее время.

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Tesla выпускает такое количество аккумуляторов 4680 в неделю, которого достаточно для оснащения 1000 пикапов Cybertruck Этот фактор не ограничивает объёмы выпуска данных электромобилей.

Эксперт: Следующий сезон альткоинов начнется после весеннего затишья на рынке криптовалют Ведущий криптовалютный аналитик Михаэль ван де Поппе считает, что сезон альткоинов (стремительный рост альтернативных биткоину монет) вряд ли начнется раньше лета.

Тонким слоем по всему конвейеру. Раскрыт объем производства Lada Granta с «автоматом» Объявляя о возвращении в производство Lada Granta с «автоматом», говорилось о том, что машины будут выпускать в течение года. Сейчас появились подробности об объемах выпуска, и они могут удивить. Изображение: АвтоВАЗ По данным инсайдерского паблика Avtograd...

Влияние Nvidia на рынок становится огромным. Спрос на ускорители поколения Blackwell приведёт к большому росту продаж памяти HBM3e и спросу на CoWoS Аналитики TrendForce утверждают, что ускорители Nvidia Blackwell сильно повлияют на рынок.  Согласно прогнозам, уже в следующем году Nvidia поставит на рынок миллионы ускорителей нового поколения. Кроме прочего, это очень сильно повысит спрос на технологию объёмно...

Samsung в ударе: компания выпустила в первом квартале 2024 года 64,5 миллиона смартфонов и планшетов, хотя планировала выпустить только 53 миллиона Samsung перевыполнила собственный же план по выпуску новых мобильных устройств — смартфонов и планшетов — на 22%. Как сообщает корейский ресурс The Elec, при плане в 53 миллиона единиц на первый квартал текущего года Samsung выпустила 64,5 миллиона смартфоно...

ASUS готовит к запуску новую игровую консоль ROG Ally X ASUS готовит к выпуску ROG Ally X, новую портативную игровую консоль с улучшенным процессором, увеличенной памятью и эксклюзивным дизайном

К 2025 году будет налажен выпуск аккумуляторов с полутовердотельным электролитом, которые окажутся на 40% дешевле нынешних Плотность хранения электроэнергии в них увеличена на 50%.

Как бесплатно увеличить место в «Google Диске» до любого объёма Места в облачном хранилище никогда не бывает слишком много, в какой-то момент вы придёте к тому, что его станет не хватать.

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Ускорители Nvidia H100 больше не нужно ждать целый год, но компания столкнулась с падением спроса Спрос на ускорители для ИИ Nvidia H100 такой огромный, что в какой-то момент время ожидания поставок для ряда клиентов выросло до года. Теперь же Nvidia удалось решить проблему почти полностью, радикально снизив ожидания.  Как сообщается, компания смогла снизить в...

«М.Видео-Эльдорадо» запустила прямую доставку товаров из СНГ Группа «М.Видео-Эльдорадо» начала тестировать товаров из стран ближнего зарубежья, о чем сообщила в интервью ТАСС директор маркетплейса Александра Иванченко. «Мы запустили пилотный проект — начинаем сотрудничать с продавцами из стран ближнег...

Тима Кука не беспокоит успех Huawei Mate 60: «Спрос на смартфоны Apple высок. iPhone занимает четыре места в китайском топ-5». Как сообщает Mydrivers, компания Apple очень спокойно относится к отличным продажам Huawei Mate 60, которые не вызывают у Тима Кука никакого беспокойства. Генеральный директор Apple Кук подтвердил: «Спрос на iPhone на китайском рынке высок. Наши продажи iPhone в с...

ИИ-бум увеличил выручку конкурента Samsung — SK Hynix — на 144% Южнокорейский гигант по производству памяти SK Hynix сообщает о «возрождении», чему способствует рост спроса на микросхемы памяти в приложениях искусственного интеллекта. Компания объявила об увеличении операционной прибыли на 288% в первом квартале, что превзошло ожидания а...

В мае Sony начнёт выпуск лазеров, которые позволят увеличить ёмкость жёстких дисков до 30 Тбайт Использовать их в своей продукции будет компания Seagate Technology.

Китай в прошлом году увеличил затраты на закупку оборудования для выпуска чипов на 29% И занял треть мирового рынка с точки зрения потребления.

В России наладят серийный выпуск отечественных двигателей для самолётов Ан-124 Руслан Срок службы самолётов данного класса увеличат до 45 лет.

Представлен Citroen Berlingo 2024 Представлен новый Citroen Berlingo 2024 модельного года, который выйдет на рынок в следующем году и станет новым этапом для бренда Citroen. На европейский рынок обновлённый Berlingo выйдет только как электромобиль с увеличенным запасом хода, но для других стран его прод...

Новая Lada Granta с АКПП вызвала ажиотажный спрос Автомобили Lada Granta с автоматической коробкой переключения передач, которые появились в салонах сети «Прагматика» в Санкт-Петербурге, Великом Новгороде и Пскове пользуются огромным спросом, что подтвердили агентству «Автостат» в компании. &laq...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Первый за 10 лет Lexus с тремя педалями и первый автомобиль не от Toyota с двигателем от GR Yaris. Подробности о новом Lexus LBX По слухам, Lexus LBX получит двигатель GR Yaris для нового варианта Performance, дебютирующего в Токио Недавно представленный Lexus LBX - это компактный премиум-класса кроссовер, ставший самым маленьким автомобилем в линейке Lexus. Он построен на обновленной платформе T...

В следующем году Tesla существенно замедлит экспансию поставок электромобилей Объёмы вырастут от силы на 20%.

CNN: Россия в несколько раз превосходит весь НАТО по объёму выпуска артиллерийских снарядов На самом деле, по объёму выпуска вообще всей военной продукции, а не только снарядов. И не в три раза, а гораздо больше.

Начался выпуск беспроводных наушников Apple AirPods нового поколения Компания делает на них серьёзную ставку с точки зрения объёмов продаж.

Tesla вынуждена сократить объёмы выпуска электромобилей в Китае Местные конкуренты предлагают более разнообразный и современный модельный ряд.

Nvidia заранее предупреждает, что её будущие ускорители для ИИ нового поколения изначально будут в дефиците Компания Nvidia решила заранее предупредить, что её ускорители поколения Blackwell будут в дефиците сразу после запуска.  Мы рады, что поставки продуктов архитектуры Hopper улучшаются. Спрос на Hopper остается очень высоким. Мы ожидаем, что предложение нашей проду...

Прокрастинация как инструмент для развития карьеры Привет, Хабр! Меня зовут Анжелика Максимова, я ведущий инженер Блока обеспечения и контроля качества выпуска изменений ПО РСХБ-Интех. Сегодня я расскажу вам, как использовать прокрастинацию для развития карьеры. Мы рассмотрим вопросы: откуда берется прокрастинация, как мозг ...

В Индии резко вырос спрос на электромобили. Страна приближается к Китаю В Индии начал расти автомобильный рынок. И всё больше спросом пользуются электромобили.

В России заметно вырос спрос на смартфоны Аналитики группы «М.Видео – Эльдорадо» поделились интересной статистикой по результатам продаж смартфонов в первом квартале 2024 года на российском рынке.  В денежном выражении рынок мобильных телефонов в России вырос на 31% до 188,3 млрд рублей (...

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

SK hynix представила память GDDR7 со скоростью 40 Гбит/с Новый тип памяти также позволит увеличить объем одного чипа до 3 ГБ, что позволит создавать видеокарты с большим объемом памяти при том же их количестве на плате

Defense News: армия США ищет взрывчатку для увеличения производства боеприпасов Штаты намерены кратно увеличить выпуск артиллерийских снарядов, но пока не знают, где взять для них взрывчатку

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Илон Маск сильно ошибся, заявив, что цены на подержанные машины Tesla будут расти Илон Маск, который заявил, что цены электромобили Tesla после покупки вырастут в цене, что является редким достижением в автомобильной промышленности, оказался не прав. Маск сделал такое заявление в 2019 году: «Если вы покупаете Tesla сегодня, я считаю, что вы пок...

В Венгрии продают уникальную «Волгу» ГАЗ-3111, которую построил не ГАЗ. Цена — всего 1,3 млн рублей В Венгрии, в Будапеште, выставили на продажу «Волгу» ГАЗ-3111 2004 года выпуска с интересной судьбой: дело в том, что собирали ее не на ГАЗе, ведь к тому времени, как пишет «Автопоток», проект «новой Волги» на головном предприятии был...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Российские производители будут мониторить спрос в магазинах онлайн В России появился сервис, благодаря которому производители смогут узнать о снижении или росте спроса на их товары

Jon Peddie: Цены на видеокарты за год упали вдвое Глобальный дефицит чипов ослабевает, на рынке криптовалют — существенный спад, потребители откладывают покупки до выхода новых графических процессоров. Согласно данным о состоянии рынка, собранным Jon Peddie Research и проанализированным немецким сайтом 3DCenter, в первом...

Нужно больше Lada Granta. АвтоВАЗ увеличит производство своей самой популярной модели АвтоВАЗ планирует увеличить производство своей самой массовой и доступной модели, Lada Granta, о чем сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте». АВТОВАЗ планирует увеличить выпуск автомобилей LADA GRANTA в последний месяц 2023 года. Для ...

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

NVIDIA перевыпустит видеокарту RTX 4080, сделав её ещё ближе к флагманской RTX 4090 Слухи о предстоящем обновлении линейки видеокарт NVIDIA RTX 40 не утихают. На этот раз информация касается модели RTX 4080, которая, по слухам, может получить версию с увеличенным объёмом памяти.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Micron в следующем году увеличит выручку от реализации HBM более чем в четыре раза Такая память в среднем в шесть раз дороже обычной DRAM.

Apple резко потеряла позиции в Китае. Новый iPhone не помог Последние исследования указывают на то, что слабый спрос на китайском рынке повлияет на прибыль Apple в первом квартале 2024 года, а продажи iPhone 15 сокращаются. Китайские покупатели в основном выбирают iPhone 15 Pro Max, что может увеличить среднюю цену продажи, но н...

Лучший SSD Samsung теперь в максимальном объёме. Samsung 990 Pro 4TB появился в продаже Твердотельный накопитель Samsung SSD 990 Pro в версии объёмом 4 ТБ наконец-то поступает на рынок. Представили его ещё месяц назад, но тогда не назвали цену.  Теперь же мы её знаем: 355 либо 345 долларов в зависимости от версии системы охлаждения. Для сравнения, ве...

Цены на SSD и HDD неуклонно растут в связи с широким распространением искусственного интеллекта Также негативно повлияло на цены и решение производителей ограничить объёмы выпуска накопителей.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Министерство Обороны Германии заявляет о слишком малом бюджете на следующий год для помощи Киеву По заявлению ведомства, в 2024 году Германия вряд ли сможет оказывать существенную помощь Украине

Рыночная капитализация NVIDIA достигла $1,83 трлн – дороже Google и Amazon NVIDIA стала четвёртой по стоимости компанией в США, обогнав Alphabet и Amazon, с рыночной капитализацией в $1,83 триллиона. Акции компании за последние пять дней подорожали на 3,64%. Этот рост во многом был обусловлен бумом искусственного интеллекта, который увеличил спрос ...

В следующем году ASML поставит не более 10 сканеров, пригодных для выпуска 2-нм чипов Из них шесть достанутся Intel.

Стандартная версия смартфона Google Pixel 8 получит Gemini Nano уже в этом году Google официально подтвердила, что Pixel 8 получит Gemini Nano со следующим выпуском Pixel Feature Drop.

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

В странах Балтии поддерживают инициативу Польши увеличить расходы на оборону до 3% от ВВП Сейчас НАТО требует от своих союзников тратить на оборонный сектор хотя бы 2% от ВВП.

Архитектурный паттерн для обработки больших данных: Lambda Привет, Хабр! Мы сталкиваемся с огромными объемами информации, высокой нагрузкой, и постоянно меняющимися требованиями. Все это требует от нас не только навыков программирования, но и грамотного проектирования архитектуры, которая способна справиться с этими вызовами.Именно ...

В России к 2025 году наладят выпуск подушек безопасности, рулевых колёс и электронных блоков управления для автомобилей Объём инвестиций составит 1,5 миллиарда рублей, будет создано свыше 200 рабочих мест.

Увеличить память iPhone 15 Pro в четыре раза с годовой гарантией и сэкономить более $300. Магазины в Китая предлагают новую услугу В настоящее время в Китае уже предоставляются услуги по увеличению объёма флеш-памяти для смартфонов серии iPhone 15. В частности, замена модуля 128 ГБ на 512 ГБ в iPhone 15 Pro стоит 95 долларов, при этом магазины дают 12-месячную гарантию на память. Для сравнения: на ...

КамАЗ возвращается, как «Терминатор». Компания нарастила производство флагманских тягачей КамАЗ К5 в четыре раза КамАЗ отчитался о производственных успехах в текущем году – они есть и заметные. За первые месяцы текущего года автогигант произвел более 3 тыс. грузовиков поколения К5 – это в 2,5 раза больше, чем было произведено в 2022 году. Иными словами, КамАЗ справился...

В iPhone 16 будет увеличен объем оперативной памяти для поддержки искусственного интеллекта Согласно сообщениям, корейская компания, занимающаяся ценными бумагами, утверждает, что в следующей модели iPhone, iPhone 16, будет увеличен объем оперативной памяти для поддержки запуска искусственного интеллекта.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

YMTC будет поставлять 232-слойную память 3D NAND для смартфонов Xiaomi 14 Хотя не смогла стать партнёром Huawei по выпуску смартфонов серии Mate 60.

«Рынок будет вялым», но Toyota собирается нарастить продажи своих автомобилей в Европе. 2023-й оказался очень успешным Toyota рассчитывает увеличить продажи своих автомобилей в Европе в 2024 году, несмотря на общую нестабильность рынка и ограничения поставок гибридных аккумуляторов. Автопроизводитель завершит этот год с объемом продаж около 1,17 миллиона Toyota и Lexus на своем европейс...

Мини-ПК, выглядящий, как гаджет из 50-ых, который имеет присоединяемую магнитами Bluetooth-колонку. Представлен Soonnooz Mini PC Компания Soonnooz представила очень необычно выглядящий мини-ПК Soon Mini, который к тому же имеет и оригинальную конструкцию.  Как можно видеть, дизайн устройства явно навеян техникой из середины прошлого столетия. Если не знать, то вряд ли можно угадать, что это...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

«СуперНиву» ждать еще долго: обещанная Lada Niva Sport выйдет только через год В следующем году Lada Niva Legend исполнится 47 лет, и к этому времени внедорожник наконец-то получит новый мотор. Какой именно – пока точно неизвестно, но получит точно. Называться такой автомобиль будет Lada Niva Sport. О том, что «спортивная» &laqu...

Panasonic начнёт выпуск усовершенствованных аккумуляторных ячеек типа 2170 в США в следующем году Либо даже в текущем.

В сфере выпуска 3-нм процессоров Qualcomm в следующем году будет зависеть от TSMC Samsung составить ей компанию не смогла.

Выпуск процессоров Intel Meteor Lake Core Ultra 9 может задержаться до следующего года Впрочем, в текущем году ряд представителей Meteor Lake всё же будет представлен.

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Цены на iPhone 15 в России рухнули: iPhone 15 Pro и iPhone 15 Pro Max за месяц подешевели на 28% Издание «Известия» проанализировало российскую розницу и пришло к выводу, что за первый месяц продаж цены на смартфоны линейки iPhone 15 в России рухнули. Так, например, iPhone 15 со 128 ГБ флеш-памяти подешевел на 15% – до 82,5 тыс. рублей, а сто...

Samsung официально выпустила твердотельный накопитель 990 Pro емкостью 4 ТБ Компания пошла навстречу требованиям фанатов больших объемов памяти, потребовавших выпуска накопителя емкостью 4 ТБ.

Apricorn представила первый в отрасли USB-накопитель с аппаратным шифрованием объемом 24 ТБ Компания Apricorn анонсировала выпуск своих новых USB-накопителей Aegis Padlock DT и Padlock DT FIPS Desktop Drives с емкостью 24 ТБ

Искусственный интеллект и будущее разработки ПО ИИ обещает кардинально увеличить темпы выпуска программного обеспечения, сделав непрерывную поставку фактическим стандартом; при этом претерпят изменения процессы и роли, в особенности относящиеся к тестированию.

Планы Nvidia по созданию GPU могут навсегда изменить представление о компьютерных играх Компания Nvidia планирует серьезно увеличить темпы выпуска видеокарт для ИИ и тяжелых вычислений, что может отразиться и на игровых GPU GeForce

Samsung улучшит автономность серии Galaxy S25 с помощью ИИ Хотя до выпуска смартфонов серии Samsung Galaxy S25 еще масса времени, слухи о них уже начали ходить в сети.

Google и Qualcomm создают "маломощный и высокопроизводительный" чип RISC-V для Wear OS Компании Google и Qualcomm активно разрабатывают RISC-V, хотя точные сроки выпуска продукта пока не объявлены

Huawei триумфально возвращается. Стартовая партия Mate 60 включает более 10 млн смартфонов, ожидаются рекордные продажи за последние годы Huawei планирует отгрузить не менее 10 миллионов устройств серии Mate 60, о чем сообщает Huawei Central со ссылкой на инсайдерскую информацию. Издание подтверждает, что компания пытается удовлетворить большой спрос со стороны потребителей. По имеющимся данным, компания ...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Sierra Space провела успешные испытания полноразмерного надувного модуля LIFE для космических станций Космическая компания Sierra Space успешно провела испытания полноразмерного надувного модуля, предназначенного для коммерческих космических станций. Испытание было проведено 22 января на базе центра космических полётов имени Джорджа Маршалла NASA. Это испытание показало...

Reuters: канцлер Германии Шольц обвинил страны ЕС в недостаточной поддержке Украины На фоне экономических проблем в стране глава правительства ФРГ призвал страны Евросоюза увеличить объёмы помощи Украине

TSMC получила срочные заказы на выпуск чипов NVIDIA для китайского рынка Выполнять их придётся уже в следующем квартале.

Рынок ПК и ноутбуков вырос благодаря спросу на компьютеры Apple Аналитическая компания IDC в новом отчёте сообщила, что мировой рынок ПК и ноутбуков показал рост на 1,5%, и обусловлено это новым витком спроса на компьютеры Apple Mac.

«Если вы пойдёте дальше, вас ждёт кровавая баня», — глава Stellantis предостерегает конкурентов от бездумного снижения цен на машины Исполнительный директор Stellantis Карлос Таварес не заинтересован в том, чтобы компания участвовала в гонке с агрессивным снижением цен, которое может нанести ущерб прибыльности некоторым её конкурентам. Таварес заявил, что Stellantis хорошо защищена от финансовых потр...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Получать положительные эмоции от Panamera будет сложнее, но Porsche не собирается отказываться от своего двигателя V8 и в следующем десятилетии Porsche не собирается отказываться от своего двигателя V8. Несмотря на ужесточение норм выбросов, восьмицилиндровый двигатель уже дорабатывается для соответствия стандарту Евро-7, хотя его внедрение отложено. Он должен был вступить в силу в 2025 году, но его отложили до...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Audi продлит жизнь нынешнему бензиновому Audi A8 из-за Китая Как сообщает ресурс Auto, Motor und Sport, Audi не будет снимать с производства люксовый седан Audi A8 в текущем году и заменять его электромобилем, как это изначально планировалось три года назад. Основная причина — хороший спрос на эту машину в Китае, ключевом р...

Названы CPU, GPU и объём памяти хороших компьютеров с запасом на будущее В одном из выпусков на YouTube-канале «Мой Компьютер» эксперт рассказал о лучших комплектующих для сборок на AM4, LGA 1700 и AM5.

На китайском авторынке появятся сотни «заводов-зомби», считает Financial Times Стремительный переход на электромобили в Китае привел к серьезным проблемам для производителей традиционных автомобилей с двигателями внутреннего сгорания (ДВС). Как сообщает Financial Times, на китайском рынке в ближайшее время могут появиться сотни так называемых &laq...

Авторынок России притормозил после стремительного роста: продажи сократились Спрос на новые легковые автомобили в России начал постепенно замедляться, хотя по-прежнему остается на высоком уровне по сравнению с прошлым годом. Согласно данным аналитического агентства «Автостат», в апреле 2024 года был зафиксирован первый месячный спад ...

Samsung и Google готовят к выпуску Wear OS 5 на основе Android 14 Возможно, следующее поколение Wear OS выйдет уже в этом году. Samsung и Google активно ведут работы над обновлением Wear OS 5 на основе Android 14.

AMD может доверить выпуск 4-нм процессоров компании Samsung Образцы уже существуют, а серийное производство стартует в следующем году.

В Microsoft объявили WordPad устаревшим и готовятся исключить его из следующего выпуска Windows 11 Его функционал оставался неизменным на протяжении 30 лет

Выпуск 3-нм процессоров AMD с архитектурой Zen 5 начнётся в следующем полугодии Apple и Intel получат свои 3-нм изделия от TSMC уже во втором квартале.

Мощности SK hynix по выпуску памяти HBM3 заняты на весь следующий год Этот вид продукции станет самым активно растущим для компании.

Jaguar прекратит выпуск автомобилей с ДВС к июню этого года И начнёт выпускать электромобили на новой платформе со следующего года.

Компания Nothing готовится к выпуску своего следующего устройства, Nothing Phone 3 Однако, пока неизвестно, чем будет отличаться Nothing Phone 3 от предыдущей модели 2a

Названы сроки выпуска и некоторые характеристики Meizu 21 Pro Meizu, по сообщениям, работает над своим следующим флагманским телефоном под названием Meizu 21 Pro.

Vivo отложила выпуск Vivo X100 Pro+ Старшая модель серии получит чипсет Snapdragon 8 Gen 3 лишь к середине следующего года

Стартовало производство Lada Vesta Sportline. Выпускать будут по 2-4 машины в день АвтоВАЗ официально представил Lada Vesta Sportline в начале октября, но производство автомобиля стартовало только на текущей неделе. Об этом сообщил инсайдерский паблик Avtograd News. Объемы выпуска мизерные, а широко разрекламированных универсалов нет. Так выглядит La...

В WordPress исправили уязвимость, угрожающую сайтам удаленным выполнением кода Разработчики WordPress выпустили патч для исправления RCE-уязвимости в своей CMS. Хотя под управлением WordPress работают около 43% всех сайтов в интернете, эта уязвимость вряд ли подвергнется массовым атакам, так как она затрагивает только новейшие версии WordPress, требует...

В Китае спрос на iPhone упал более чем на треть за три месяца В Китае третий месяц подряд наблюдается последовательное снижение спроса на iPhone. На февраль 2024 года доля сокращения поставок составила 33% год к году.

40% японских студентов ни знают ни знают даже Ctrl+C, Ctrl+V Конечно, сейчас много тех, кто «родился со смартфоном» и даже не сидел за ПК или ноутбуком. Есть те, кто пишут рефераты или какие-то крупные тексты на смартфоне, и им нормально и даже удобно. Хотя… Нам кажется, что если бы человек хотя бы раз воспользовался обычной аппарат...

Вилли Ву считает, что массовые покупки биткоинов не повышают цену Несмотря на распространённое мнение о том, что малое количество цифровых активов на криптовалютных биржах соответствует высокому спросу и вызывает рост цен, эксперт крипторынка Вилли Ву считает, что покупка огромных объёмов биткоинов (BTC) на торговых платформах необязательн...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Спрос на ускорители AMD Instinct MI300 «выше, чем мы когда-либо видели» — так заявил представитель Lenovo Несмотря на то, что Nvidia безоговорочно доминирует на рынке ускорителей для ИИ, конкуренты не дремлют. Как заявляет компания Lenovo, спрос на её системы на основе ускорителей AMD очень высок.  фото: AMD Я думаю, можно сказать, что спрос на этот продукт выше, чем ...

Intel подтверждает выпуск Windows 12 в следующем году Выход новой крупной версии операционной системы Windows ожидается в следующем году, что подтверждает компания Intel.

Nvidia готовит новые GPU для карт GeForce RTX 4070, 4060 Ti и 4060 В преддверии выпуска следующего поколения видеокарт Nvidia собирается обновить нынешние

Nintendo может перенести выпуск новой портативной консоли на следующий год Ранее ожидалось, что Nintendo switch 2 выйдет во второй половине 2024 года

Microsoft задумалась о переносе Windows 12 на 2025 год Microsoft, кажется, пересматривает свои планы по выпуску следующего поколения своей операционной системы — Windows 12.

Материнские платы MSI получают поддержку процессоров Ryzen 9000 Компания MSI подтверждает, что новый выпуск AGESA добавляет поддержку процессоров следующего поколения AMD Ryzen 9000.

Dell раскрыла сроки выхода крупного обновления Windows 11 Компания Dell раскрыла планы Microsoft по выпуску следующего крупного обновления операционной системы Windows 11.

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

Продажи Apple MacBook падают. Компании придётся существенно пересмотреть прогнозы относительно 15-дюймового Air Спрос на новый 15-дюймовый ноутбук MacBook Air, как сообщается, будет ниже, чем изначально планировала Apple.  Фото: Apple Аналитик Tianfeng International Минг-Чи Куо (Ming-Chi Kuo) говорит, что продажи упали после окончания сезона Back to School.  Спрос на н...

Президент Xiaomi лично поможет собирать Xiaomi 14 Смартфоны линейки Xiaomi 14 набирают большую популярность ещё до официального анонса, что подтверждают данные по предварительным заказом и активное обсуждение новых смартфонов в Weibo. Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, оставил на св...

Несмотря на санкции США, Huawei увеличила поставки смартфонов на 36% и развернула первую сеть 5.5G Доля китайского телекоммуникационного гиганта на рынке смартфонов значительно возросла, а в Пекине компания уже развернула пилотную сеть следующего поколения 5.5G

Это же каким мощным мог бы быть такой процессор AMD в играх. Пользователь из Китая получил странный CPU Ryzen 9 7950X3D со 192 МБ кеш-памяти L3 Процессор Ryzen 9 7950X3D, как и все остальные модели X3D, имеет дополнительную микросхему памяти V-Cache объёмом 64 МБ. Но один счастливчик в Китае раздобыл где-то экземпляр, который, похоже, оснащён 128 МБ такой кеш-памяти!  По крайней мере именно так указывает ...

Вырос спрос на оформление страховок при покупке электроники Рост продаж страховых программ в розничных сетях электроники свидетельствует о стремлении ретейлеров и банков компенсировать снижение спроса на основной ассортимент за счет дополнительных услуг

Совершенно новый Toyota RAV4 будет больше текущей модели. Дизайн будет напоминать Toyota C-HR Компания Toyota готовится к выпуску нового поколения своей популярной модели, RAV4. Автомобиль получил кодовое название XA60 и планируется к выходу в 2026 году. Следующий внедорожник Toyota RAV4 будет иметь увеличенные размеры по сравнению с текущей моделью, длина кузов...

Теперь ускорители Nvidia H100 приходится ждать не год, а всего два-три месяца Сроки ожидания поставок ускорителей Nvidia H100 продолжают снижаться. Теперь речь идёт всего о 8-12 неделях.  фото: Nvidia Напомним, менее года назад дефицит был настолько велик, что поставки приходилось ждать до года. Затем в конце 2023 года Nvidia удалось серьёз...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

«Серп и Молот» нарастит выпуск деталей для УАЗов На саратовском заводе «Серп и Молот» планируется увеличение производства компонентов для автомобилей УАЗ за счёт модернизации производственного участка. Это стало возможным благодаря государственной поддержке, которая позволила закупить необходимое оборудова...

Капитализация Nvidia увеличилась на 50% с начала текущего года и составила 1,72 трлн долларов Nvidia стремительно увеличивает рыночную капитализацию, благодаря высокому спросу на продукты ИИ. Несмотря на стабильность Apple и Microsoft, растущий спрос на ИИ-продукты Nvidia может изменить расстановку сил на рынке.

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

SoftBank собирается в следующем году наладить выпуск чипов для ускорения ИИ собственной разработки И построит не только центры обработки данных, но и электростанции для них.

SK hynix до 2046 года вложит $91 млрд в строительство крупнейшего в мире комплекса по выпуску памяти И приступит к реализации проекта уже весной следующего года.

Появились свидетельства подготовки к выпуску защищенного планшета Samsung Galaxy Tab Active 5 Есть основания полагать, что Samsung готовит к выпуску свой следующий прочный планшет.

В Зеленограде налажен серийный выпуск системных плат с поддержкой российских процессоров В следующем году производство будет расширено.

Android 14 QPR3 Beta 1 уже доступна для всех Pixel и добавляет " Circle to Search" в серию Pixel 8 Меньше, чем через месяц после выхода Android 14 QPR2 Beta 3 и пары патчей, Google объявила о следующем шаге в программе бета-тестирования Android 14 QPR - выпуске QPR3 Beta 1.

Жителям Улан-Удэ и пригорода увеличили скорости мобильного интернета Более 500 тысяч жителей Бурятии получили новое качество сотовой связи и увеличенную скорость передачи данных. МегаФон реализовал масштабную программу рефарминга, которая позволяет нарастить ёмкость сети и увеличить скорости мобильного интернета.

Врачи рассказали, как можно увеличить количество сперматозоидов При слишком низком количестве сперматозоидов шансов зачать ребёнка у вас не так много. Чтобы это исправить, важно соблюдать следующие рекомендации.

Apple представит новые Mac через несколько дней Apple анонсирует новые Mac в течение нескольких дней. Марк Гурман из Bloomberg утверждает, что анонс состоится до конца октября. Он сообщил, что Apple «планирует запуск нового продукта Mac примерно в конце этого месяца», отмечая, что речь может идти о выпуск...

В России упал спрос на мотоциклы «Урал»: за 2023 году собрано всего 400 единиц. Завод готов выпускать и больше, но «заказа нет» Россияне охладели к мотоциклам «Урал»: в текущем годы было собрано всего 400 единиц, хотя в прошлые года в среднем собирали в три раза больше. По словам исполнительного директора Ирбитского мотозавода Владимира Курмачева, предприятие может выпускать в разы б...

Илон Маск считает, что в следующем году рост мощности ИИ прекратится Хотя темпы этого явления превосходят едва ли не всё, что известно нам из истории.

Историческое событие на АвтоВАЗе: начался выпуск Lada Vesta SW с новым 163-сильным двигателем О выпуске первых автомобилей Lada Vesta SW с новым турбированным двигателем объемом 1,4 литра мощностью 163 л.с. пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Сегодня 1 апреля произошло историческое событие в жизни ВАЗА. С конвейера сошло 2 ...

Инсайдеры объявили апрель месяцем возрождения Lada Granta: возобновится производство машин с «автоматом» и универсалов Инсайдерский паблик Avtograd News сообщил о том, что сегодня на вазовском конвейере собрали первый после долгого перерыва универсал Lada Granta — Lada Granta Cross. «Скоро пойдут в более существенном количестве. Апрель будет месяц возрождения на линии Granta...

Российские производители планируют в 2024 году увеличить выпуск материнских плат в 2 раза Проблема в том, что почти все материнские платы выпускаются для процессоров Intel и AMD

Military Watch: Россия в несколько раз увеличила выпуск ракет для ОТРК "Искандер" По другую сторону океана признали, что ракеты у России есть в достаточном количестве

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

МТС успешно закрыла книгу заявок на размещение второго выпуска биржевых облигаций с плавающей ставкой ПАО «МТС» объявляет о закрытии книги заявок на размещение пятилетних биржевых облигаций серии 002Р-02 объемом 13,5 млрд рублей с плавающей ставкой купона RUONIA + 1.25% годовых.

Игровая консоль Nintendo Switch 2 получит магнитный контроллер Joy-Cons и увеличенный экран Nintendo планирует перенести дату выпуска Switch 2 на начало 2025 года, чтобы предоставить разработчикам игр больше времени для разработки большей линейки игр.

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Владельцы Pixel 8 могут порадоваться. Google всё же выпустит для этой модели ИИ Gemini Nano Компания Google передумала ограничивать доступность своего искусственного интеллекта Gemini Nano только топовым смартфоном Pixel 8 Pro. Gemini Nano всё же выйдет и на обычном Pixel 8.  Pixel 8 получит данный ИИ в качестве предварительной версии для разработчиков в...

В России будут выпускать больше внедорожников Hava— производство в Туле расширяют В текущем году Haval планирует выпустить в России 130 тыс. внедорожников и кроссоверов, но в будущем производство будет только расширятся. Нарастить выпуск автомобилей позволит новый цех штамповки — ввод его в строй удвоит объем выпуска кузовных деталей. Изображе...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

TSMC начнёт выпуск тестовых 4-нм чипов на новом предприятии в Аризоне в этом месяце Массовое будет развёрнуто к первой половине следующего года.

В следующем году власти США выдадут субсидии на реализацию не менее десяти проектов по выпуску чипов И счёт пойдёт на многие миллиарды долларов.

Huawei представит флагманский смартфон с гибким дисплеем Pocket 2 22 февраля Компания Huawei приоткрыла тайну о выпуске своего следующего складного смартфона.

К концу следующего года SK hynix потратит $14,5 млрд на строительство нового предприятия по выпуску памяти HBM Производство будет расширяться не только в США.

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Дизайн для Samsung Galaxy S25 переизобретет выходец из Mercedes-Benz Хотя линейка Samsung Galaxy S24 еще не была представлена официально, уже появляются подробности о следующем поколении смартфонов — Galaxy S25.

Барнаултрансмаш наращивает мощности: новые двигатели для БМП укрепляют обороноспособность "Барнаултрансмаш" значительно увеличил производство двигателей для боевых машин пехоты, обеспечив "Курганмашзаводу" возможность наращивать выпуск БМП-3 и БМП-2.

Почти классический овердрайв Tube Screamer Привет, Хабр! Каждый из тех, кто играет на электрогитаре, наверняка хотя бы иногда пользовался Тьюб Скримером, потому что это одна из самых удачных гитарных педалей за всю историю их развития. Знаете, сколько всего существует разных Тьюб Скримеров и родственных им педалей?...

Moto X50 Ultra прошел сертификацию радиосвязи в Китае, выпуск намечен на май Хотя дизайн этого телефона практически идентичен Moto Edge 50 Ultra, доступному на других рынках, ожидается, что эта модель будет иметь некоторые ключевые отличия

Samsung Galaxy S25 получит существенный редизайн В течение нескольких поколений флагманских смартфонов компания Samsung совершенно не демонстрировала каких-либо существенных изменений в плане дизайна. Пользователи из года в год получали идентичное устройство, у которого слегка менялись характеристики, но далеко не всем так...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Xiaomi MIX Fold 4 приписывают и двухстороннюю спутниковую связь, и 1 ТБ памяти, и защиту от воды, и 100-ваттную зарядку Складной флагман Xiaomi MIX Fold 4 получит большой объём памяти и спутниковую связь.  Инсайдер Digital Chat Station утверждает, что сейчас устройство тестируется с SoC Snapdragon 8 Gen 3, 16 ГБ ОЗУ и 1 ТБ флеш-памяти. Кроме того, в наличии и спутниковая связь, при...

Спрос на Ford Focus взлетел перед прекращением производства В Европе спрос на Ford Focus резко возрос перед предстоящим прекращением производства данной модели. В прошлом году было продано более 100 тысяч хетчбэков и универсалов, что на 27,6% больше, чем в 2022 году. Хотя Focus не достиг лидерства в этом сегменте, его продажи вы...

АвтоВАЗ назвал неочевидные плюсы Lada Granta Интересный материал появился в Сети: в нем АвтоВАЗ перечислил неочевидные плюсы Lada Granta. Всего пунктов пять.   Lada Granta многие хвалят за энергоемкую подвеску, и к этому действительно не придраться. Но особняком стоит версия Sport с «зажатой» под...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Медведев: в следующем году ВС РФ будут укреплены и увеличены в численности Появятся новые полки, новый армейский корпус и даже новая флотилия.

Параллельный импорт прикрутят. Кто поделит российский авторынок в 2024 году, спрогнозировали участники отрасли Участники рынка, опрошенные агентством «Автостат», спрогнозировали, каким образом распределятся доли между участниками российского авторынка в 2024 году. Как считает руководитель дилерского направления автомобильного маркетплейса FRESH Евгений Житнухин, кита...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Завод «Микрон» увеличил производство продуктов для промышленного использования Резидент особой экономической зоны (ОЭЗ) «Технополис Москва» — завод «Микрон» — увеличил выпуск новых продуктов для промышленного использования. Микросхемы управления питанием, составные транзисторы с высокой нагрузочной способностью и RFID-метки для экстремальных условий эк...

Это первый ноутбук с Intel Core HX 14-го поколения и RTX 4060. Появились фотографии Lenovo Savior Y7000P Компания Lenovo объявила сегодня о скором выпуске нового поколения игрового ноутбука Savior Y7000P, который поступит в продажу в январе. Он получил новый корпус и свежий процессор. Игровой ноутбук Savior Y7000P 2024 получил новую конструкцию с увеличенным задним воздух...

Прибыль Samsung от чипов выросла более чем на 900% Компания Samsung Electronics прогнозирует десятикратное увеличение прибыли в первом квартале 2024 года благодаря росту спроса на чипы и продукты искусственного интеллекта. Ожидается высокий спрос на полупроводники в течение года, а землетрясение в Тайване может сократить мир...

iPhone 15 произвёл фурор в России: продажи оказались гораздо выше, чем год назад у iPhone 14 Спрос на iPhone 15 в первые две недели после начала продаж в России оказался более высоким, чем на iPhone 14 за тот же периодом годом ранее, о чем сообщили «Известиям» продавцы электроники. Продажи iPhone 15 в сети МТС начались в конце сентября и в 1,5 раза ...

ASUS представила обновлённую версию портативной игровой консоли ROG Ally X ASUS анонсировала выпуск обновленной версии своей портативной игровой консоли ROG Ally X, которая обещает вдвое большее время автономной работы, увеличенный объем ОЗУ и возможность апгрейда, ставя новые стандарты в мире мобильного гейминга.

Следующее крупное обновление Windows 11 выйдет в апреле 2024 года. В Windows 11 24H1 реализуют поддержку Wi-Fi 7 для систем Intel Dell раскрыла срок выпуска следующего большого обновления Windows 11 - Windows 11 24H1, также известного как Moment 5. Релиз состоится в апреле, и в эту сборку включат драйвер для адаптеров Wi-Fi 7 от Intel. Учитывая, что Dell является давним партнером и Microsoft, и In...

Небольшой конкурент Samsung по чипам памяти SK Hynix станет победителем в области искусственного интеллекта — Bloomberg Акции Samsung Electronics растут не так быстро, как акции её конкурента по производству чипов памяти SK Hynix. Как пишет Bloomberg, инвесторы делают ставку на то, что именно последняя станет победителем в области искусственного интеллекта. Акции SK Hynix выросли на 67% ...

Gmail исполняется 20 лет: взгляд в прошлое и вопросы о будущем Когда-то революционный почтовый сервис с огромным объемом памяти в 1 ГБ, Gmail на следующей неделе празднует свое 20-летие. Но что будет дальше? Пытается ответить редактор The Verge.

Умное кольцо Apple: носимое устройство, которое вам вряд ли нужно Слухи о смарт-кольце Apple ходят уже почти 10 лет, с тех пор как компания подала патент на концепт «iRing» в 2015 году. Хотя идея так и не была реализована, последние события указывают на то, что Apple может вернуться к этой концепции. В связи с этим у 9to5Mac назрел вопрос:...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Microsoft работала над Xbox 720 за $299, но передумала выпускать устройство Microsoft рассматривала возможность выпуска своей следующей игровой консоли под названием Xbox 720 всего за $299. Об этом говорят утекшие накануне документы.

[Перевод] Как мы сократили время тестирования на 70% благодаря переходу с Cypress на Playwright «Remove .only from Cypress test» — знаком ли вам такой комментарий к коммиту? Если вы используете Cypress для сквозного тестирования, то вы знаете, о чем я говорю. Мы создали обширный набор из более чем 200 тест-кейсов с помощью Cypress. Хотя Cypress является мощным инстр...

АвтоВАЗ дважды приостановит производство машин в 2024 году АвтоВАЗ согласовал график корпоративных отпусков в следующем году. Российский завод планирует отдыхать в мае и в июле-августе. ОБ этом сообщает Профсоюзная организация АвтоВАЗа со ссылкой на подписанный в четверг приказ №442 «О едином корпоративном отпуске в 2024 ...

Samsung прекращает выпуск обновлений для пяти некогда популярных смартфонов и одного планшета: список Samsung известна довольно продолжительной программной поддержкой своих смартфонов, но она не является бесконечной: компания сообщила о прекращении выпуска новых версий прошивок для пяти моделей смартфонов и одного планшета. Galaxy A50s. Изображение: Samsung Этими смарт...

В России объявили стоимость юбилейного магистрального МАЗ с 14,9-литровым мотором Weichai. Будет выпущено всего 80 таких машин Представленный две недели назад юбилейный магистральный тягач МАЗ 54402L-2531-031, выпуск которого приурочили к 80-летию белорусского предприятия, начали предлагать в России. Машину оценили в 8,15 млн рублей, при этом дилер отмечает, что такой МАЗ на треть дешевле китай...

Рынок ПК в III квартале 2023 года стал лучше, а у Apple падение более чем на 23% Согласно отчетам IDC, Gartner и Canalys за третий квартал 2023 г., мировой рынок ПК демонстрирует признаки улучшения ситуации: снижение объемов поставок замедляется. Хотя рынок все еще сокращается на 7%-9%, по общему мнению авторов этих отчетов, долгосрочный спад, возможно, ...

Процессоры Intel Core 12-го, 13-го и 14-го поколения практически не отличаются, если приравнять их по основным параметрам Компания Intel недавно выпустила процессоры Core 14-го поколения в виде линейки Raptor Lake Refersh, которая от обычных Raptor Lake технологически ничем не отличается. В свою очередь, Raptor Lake являются лишь слегка улучшенными моделями Alder Lake с увеличенным количес...

TSMC строит новые предприятия для удовлетворения растущего спроса на чипы ИИ Тайваньский гигант полупроводниковой отрасли TSMC планирует построить к 2026 году два новых предприятия по упаковке микросхем в уезде Цзяи. Этот шаг направлен на решение проблемы дефицита поставок передовых чипов для искусственного интеллекта, спрос на которые стремительно р...

АвтоВАЗ опроверг остановку производства универсалов Lada Vesta АвтоВАЗ опроверг остановку выпуска и отгрузки универсалов Lada Vesta, о чем сообщила пресс-служба компании по запросу Autonews.ru. Ранее в сообществе Avtograd news во «ВКонтакте» появилась информация о том, что АвтоВАЗ возобновил производство автомобилей Lad...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Он улетел и не вернётся: что случилось с «Вояджер-1» и что будет дальше За последние полвека учёные получили огромный объём информации о Вселенной, включая как данные о Солнечной системе и её объектах, так и о дальнем космосе. Большую работу проделали космические аппараты, без них астрономы до сих пор гадали бы, например, что из себя представляе...

Скидки до 7000 долларов. Из-за резкого падения продаж Lexus сильно опустил цены на седаны ES в Китае Lexus предоставил значительные скидки на седаны ES в связи с уменьшением объемов продаж в Китае. Распродажа охватит почти все комплектации четырехдверных автомобилей. Китайские дилеры снизили стартовую цену модели ES на 7 000 долларов или 17% из-за снижения спроса. Подо...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Tank 500 в очередной раз улучшен. Представлен Tank 500 Hi4-Z — это самая экономичная версия внедорожника Китайский Минпром сертифицировал новую версию внедорожника Tank 500 — Tank 500 Hi4-Z. Это тоже гибрид, как и продающийся в Китае Tank 500 Hi4-T, но с одним важным отличием: в версии Z емкость тяговой батареи увеличена с 37,1 кВт·ч до 59,05 кВт·ч. Для...

Samsung отказалась от выпуска бюджетной версии складного Galaxy Z Fold 6 Согласно данным, более дешевый и тонкий Galaxy Z Fold FE без стилуса должен был стоить чуть меньше 1000 долларов, что должно было значительно увеличить сокращающуюся долю Samsung на рынке складных устройств.

Тысячи новеньких Chevrolet Lacetti по 1 млн рублей раскупили за полчаса в Узбекистане Завод UzAuto Motors полностью прекратил производство модели Chevrolet Lacetti/Gentra, как и было запланировано, а последнюю партию распродали за полчаса. 99,9% седанов из партии, которая включала 4500 единиц, достались частным лицам, которые смогли заказать по одной маш...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Apple планирует выпустить складной iPad уже в следующем году По слухам, выпуск складного iPad запланирован на конец 2024 года

Samsung готовит к выпуску смартфон Galaxy A35 5G Похоже, Samsung готовит сразу несколько смартфонов серии Galaxy A следующего поколения, включая модели Galaxy A15, A25, A35 и A55.

SK Hynix заняла 35% рынка DRAM Согласно информации аналитического агентства Business Korea, доля компании SK Hynix на рынке памяти DRAM достигла 35% в третьем квартале текущего года. Графические процессоры для центров обработки данных, которые компании используют для обучения моделей искусственного интелл...

Использование слоя "дна" вместо радиоткани     Собственно в заголовке статьи весь ее смысл уже кратко изложен. Если чуть подробнее: при проектировании корпуса устройства с динамиком (радиоприемник или что-то подобное) решетку динамика вырезать не насквозь, а оставить толщину одного слоя. Так, чтобы в этом месте печат...

Новый раскладной смартфон Tecno Phantom V2 Fold уже на подходе Компания Tecno, судя по всему, работает над преемником своей раскладной модели Phantom V Fold. Новинка, очевидно, получит название Tecno Phantom V2 Fold, ранее она была замечена в базе IMEI, а теперь засветилась и в бенчмарке Geekbench. Благодаря этому стали известны не...

В ВСУ захотели увеличить число мобильных групп ПВО на севере Украины Киев увеличит число мобильных групп ПВО на севере Украины

iPhone 17 Pro и iPhone 17 Pro Max получат 12 ГБ оперативной памяти Apple планирует увеличить оперативную память для следующих моделей iPhone, чтобы обеспечить многозадачность, лучшие игры и возможности искусственного интеллекта.

Nintendo Switch 2 может появиться в варианте OLED Недавние слухи о консоли следующего поколения породили идею о том, что Nintendo Switch 2 будет оснащена недорогой ЖК-панелью. Однако наличие нескольких моделей увеличит вероятность появления в какой-то момент модели Switch 2 OLED.

В России будет больше дешёвых машин: АвтоВАЗ значительно увеличит производство самых бюджетных Lada Granta Волжский автомобильный завод (АвтоВАЗ) планирует существенно нарастить выпуск автомобилей Lada Granta в базовой комплектации Standard в 2024 году. Об этом сообщил источник на заводе порталу Авто Mail.Ru. По словам собеседника, речь идет о нескольких десятках тысяч автом...

Motorola готовит смартфон Moto G85 для европейского рынка Компания Motorola, видимо, готовит к выпуску новый смартфон Moto G85, который станет преемником прошлогодней модели G84. Один из европейских ритейлеров разместил на своём сайте краткую информацию о новинке, хотя её полных характеристик и изображений ещё нет. Судя п...

Анонсирован выход защищенного смартфона Doogee V30 Pro Компания Doogee анонсировала выпуск в следующем месяце своего нового защищенного смартфона Doogee V30 Pro.

В Узбекистане Chevrolet Labo за 700 тыс. рублей раскупают примерно так же, как Xiaomi SU7 за 30 тыс. долларов в Китае Chevrolet Labo — это как раз то новое, которое хорошо забытое старое. Этот грузовичок является слегка освеженной версией старого Suzuki Carry образца 1985 года, однако архаичность конструкции и ее простота в данном случае является большим драйвером спроса: как пиш...

На берегу уникального озера Тамбукан увеличили скорость мобильного интернета Это позволило увеличить скорость мобильного интернета на 15% и достигнуть 75 Мбит/с.

Появился новый способ экономить на Wildberries Wildberries увеличил скидку, которая предоставляется при оплате через «WB Кошелёк». Раньше она составляла 2%, а теперь увеличена до 3%.

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

«Калашников»: боевое могущество российского дрона-камикадзе «Куб» увеличено Боевое могущество российского «Куба» увеличили

Неубиваемый Samsung Galaxy Tab Active 5 готовится к старту: первые подробности Компания Samsung Electronics вовсю готовится к запуску нового поколения своего прочного планшета Galaxy Tab Active, ориентированного на суровые условия использования. В сети уже появились первые подробности о грядущей новинке.  Самым свежим пополнением в линейке б...

SMIC, как сообщается, наращивает производственную линию по выпуску 5-нанометровых микросхем в Шанхае Согласно свежему сообщению Reuters, крупнейший контрактный производитель чипов в Китае связан с разработкой SoC следующего поколения Huawei

К выпуску готовится новый смартфон Oppo A60 Следующим смартфоном серии A от бренда Oppo может стать Oppo A60, который уже получает сертификаты регуляторов.

Apple не выпустит Mac mini на процессоре M3 По информации от надежного источника, Apple откажется от выпуска Mac mini на базе процессора M3. Бюджетный настольный ПК перейдет сразу на чип следующего поколения.

Чехлы от первых Google Pixel Watch не подойдут к новым, хотя они почти идентичны Готовящиеся к выпуску часы Pixel Watch 2, несмотря на внешнее сходство с предшественниками, имеют незначительные изменения, которые делают несовместимыми существующие аксессуары, особенно те, которые связаны с заводной головкой или кнопкой часов.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Valve представляет Steam Deck OLED для HDR-игр с увеличенным временем автономной работы и Wi-Fi 6E Steam Deck OLED поставляется с уменьшенной SoC, а также с обновленным хранилищем: у топ-модели объем увеличен с 512 ГБ до 1 ТБ.

Лимит по программе ИТ-ипотеки будет увеличен до 700 млрд рублей Общий кредитный лимит по программе льготной ИТ-ипотеки планируется увеличить ещё на 200 млрд рублей — до 700 млрд рублей.

Samsung готовится конкурировать с Nvidia. ИИ-чип Mach 1 выйдет в следующем году Samsung бросает перчатку в сторону Nvidia, объявляя о предстоящем запуске своего ИИ-ускорителя Mach 1 в начале 2025 года. Хотя Samsung уточняет, что пока не нацеливается на сверхвысокотехнологичное пространство.

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

LG Display представила панель MLA-OLED нового поколения с рекордной яркостью LG Display, один из двух крупнейших в мире поставщиков дисплеев, на выставке CES 2024 в январе анонсировал на закрытой встрече планы по выпуску новых панелей OLED, а детали стали известны только сейчас. По данным IT Home, LG Display и Samsung Display представили панели ...

Qualcomm объявила о выпуске процессора Snapdragon X Elite На пресс-конференции Qualcomm было объявлено о выпуске процессора Snapdragon X Elite, разработанного для планшетов, ноутбуков и ультратонких лэптопов следующего поколения

MSI официально объявила о выпуске новых BIOS для поддержки процессоров AMD AM5 следующего поколения Поддержка будет реализовываться на материнских платах X670E, X670, B650 и A620.

«На каждую машину очередь — минимум два человека», «автомобили просто сметают». Дилеры рассказали о бешеном спросе на машины Solaris HC и Soaris HS (Hyundai Creta и Solaris) Ажиотаж на автомобили Solaris в России из первых уст: опрошенные Autonews дилеры сообщили, что спрос на автомобили просто огромный. Один из примеров: от первой партии в 30 машин на складе у дилера осталось всего девять. А ведь продажи только-только стартовали. Фото: Au...

Такое щедрое предложение может создать только AMD. Radeon RX 7600 XT с 16 ГБ памяти будет стоить всего 330 долларов Пока Nvidia запускает GeForce RTX 40 Super в ценовом диапазоне от 600 до 1000 долларов, AMD готовится анонсировать Radeon RX 7600 XT, которая предложит 16 ГБ памяти за минимальные деньги.  Анонс состоится сегодня, но, как и в случае с картами Nvidia, у ресурса Vid...

АвтоВАЗ скоро назовёт цену Lada Vesta с «автоматом». На данный момент с конвейера сходит пять таких машин в час Инсайдерский паблик раскрыл данные об объемах выпуска новой версии Lada Vesta — c мотором 1.8 EVO и китайским «вариатором». Оказалось, что таких машин собирают 5 единиц за час. То есть за 8-часовую смену завод выпускает 40 машин, за две смены, соо...

Toyota начинает производство твердотельных батарей и увеличит запас хода электромобилей до 1200 км Увеличенный запас хода и быстрая зарядка - вот лишь некоторые из преимуществ

Neon Prime, следующий шутер от третьего лица компании Valve В настоящее время дата выпуска не известна, но есть данные, что анонс "близок", поскольку оригинальная торговая марка игры была утверждена

В Челябинске на ЧТЗ открыли новый цех и пообещали нарастить выпуск танковых двигателей в 3 раза Ионное азотирование является следующим шагом в процессе повышения эксплуатационных характеристик двигателей для танков

Финдиректор Nvidia намекнул на перенос выпуска видеокарт к Intel из-за ссоры с TSMC В своем заявлении на Глобальной технологической конференции UBS финансовый директор Nvidia намекнул на потенциальное сотрудничество с Intel Foundry Services для производства микросхем следующего поколения.

Следующая игра Larian после Baldur’s Gate 3 тоже сначала появится в раннем доступе По мнению руководства студии, такой формат выпуска проектов позволяет наладить связь с игроками и получить от них обратную связь

Названы даты анонса, начала приема предзаказов и начала продаж Samsung Galaxy S24, Galaxy S24+ и Galaxy S24 Ultra. Информацией поделился инсайдер Новая утечка содержит подробности о дате анонса смартфонов Samsung Galaxy S24, Galaxy S24+ и Galaxy S24 Ultra, дате начала приема предзаказов и начале продаж. По словам информатора Таруна Ватса (Tarun Vats), анонс линейки Galaxy S24 запланирован на 17 января 2024 года в...

Выпуск целевиков медицинских вузах Минздрава более 10 тысяч человек С 2019 года вузы, подведомственные Министерству здравоохранения России, увеличили количество выпускаемых целевых студентов с 7 тысяч до более чем 10 тысяч человек. Об этом сообщила Людмила Летникова, директор департамента медицинского образования и кадровой политики в здраво...

Прибыль Samsung взлетела более чем на 900% Samsung Electronics сообщила, что операционная прибыль за первый квартал буквально взлетела, поскольку цены на чипы памяти восстановились на фоне оптимизма в области искусственного интеллекта. Выручка составила около 52,3 млрд, а операционная прибыль оказалась на уровне...

Samsung может отказаться от выпуска фитнес-трекера Galaxy Fit 3 Samsung может отменить выход своего нового фитнес-браслета Galaxy Fit 3. Хотя ранее на сайте компании появлялись подробности гаджета, теперь страница удалена.

Raspberry Pi выпустил продвинутую версию Compute Module 4S: на что способен одноплатник? Одноплатные компьютеры от Raspberry Pi породили целый сонм похожих устройств. Десятки производителей выпускают большое количество моделей. Но и создатели «малинки» не почивают на лаврах, например, на днях они представили Compute Module 4S с увеличенным объёмом ОЗУ. Одноплатн...

SSD Samsung 1080 Pro объёмом 4 ТБ за 40 долларов, который медленнее HDD? В Китае массово продают поддельные накопители корейского гиганта На рынке появились твердотельные накопители Samsung 1080 Pro, которые сама компания не представляла. А всё потому, что это подделки.   Авторы Quasarzone обнаружили такие SSD на просторах китайских магазинов, включая Aliexpress. Согласно заявленным параметрам, SSD ...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Компания Kioxia запустила производство новых карт памяти microSDXC объемом 2 ТБ Пока что это единственная модели microSDXC с таким внушительным объёмом памяти

Бизнес-пикап Changan Hunter Plus стал хитом в России: спрос превышает поставки Продажи пикапа Changan Hunter Plus в России стартовали под конец прошлого года, а сейчас уже есть первые итоги: согласно опросу, который ресурс «Китайские автомобили» провел среди дилеров Changan, эта модель пользуется спросом. Что на фоне явно небольших объ...

Windows 12 будет распространяться по подписке? Немецкий портал Deskmodder обнаружил, что в инсайдерских сборках Windows 11 для канала Canary обновился файл slmgr.ini, в котором появились строки о некой подписке. Отметим, что этот файл относится к скрипту slmgr.vbs для управления параметрами лицензирования Windows. L_Ms...

Полмиллиона Lada за год: АвтоВАЗ настроен решительно Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что АвтоВАЗ планирует увеличить производство автомобилей по сравнению с 2023 годом и выпустить не менее полумиллиона машин. «Производственный план будет увеличен почти на четверть, сам „АвтоВАЗ&ldqu...

Представлена новая Lada Niva Sport со 150-сильным мотором АвтоВАЗ представил новую «Ниву» для ралли-рейдов – это уже третье поколение внедорожника. Внедорожник создавался с прицелом на участие в ралли-рейде «Шелковый путь» в 2024 году, но успеет посоревноваться и в 2023 году – новая «Н...

iPhone 15 Pro Max смог обойти Samsung Galaxy S23 Ultra, но незначительно. Смартфоны сравнили по скорости работы Авторы канала PhoneBuff сравнили iPhone 15 Pro Max и Galaxy S23 Ultra по скорости работы. Как всегда, использовался роботизированный манипулятор, который избавляет такие тесты от человеческого фактора.  Как можно видеть, почти весь первый круг смартфоны шли максим...

Каким будет Pixel 8a, начнет ли продаваться в России и стоит ли его ждать Телефоны Google Pixel серии A считаются одними из лучших бюджетных Android-телефонов. Хотя они идут на некоторые жертвы, когда дело доходит до аппаратного обеспечения, они с лихвой компенсируют это более низкой ценой, доступом к быстрый мобновлениям и волшебной камере Googl...

Больше никаких секретов. Опубликованы качественные изображения видеокарт Zotac GeForce RTX 4070 Super Ресурс Videocardz опубликовал качественные изображения видеокарт GeForce RTX 4070 Super производства Zotac – моделей линеек Trinity Black и Twin Edge. Уже по названию понятно, что у Trinity Black более мощная система охлаждения с тремя вентиляторами. У Twin Edge в...

По слухам следующий процессор Kirin будет сопоставим с Apple A12Z Один из китайских инсайдеров сообщил часть характеристик ещё не анонсированного процессора HUAWEI Kirin, готовящегося к выпуску

NVIDIA отложила выпуск графического процессора H20 на следующий год Это вызвано очередными ограничениями со сторона США на поставку высокопроизводительных процессоров для работы в области ИИ в Китай

Выпуск флагманского процессора Intel Core Ultra 9 Meteor Lake переносится на следующий год Об этом сообщил китайский блогер Golden Pig, имеющий хороший послужной список утечек о будущих процессорах Intel.

MediaTek выпустит самый большой мобильный процессор с более 30 млрд транзисторов Компания MediaTek готовит к выпуску свой следующий флагманский чипсет Dimensity 9400, который может похвастаться революционным размером кристалла.

GeForce RTX 4090 может справиться со сложными паролями за минуты. Hive Systems показали, на что способны современные ускорители и суперкомпьютер в этих задачах Компания по кибербезопасности Hive Systems показала, на что способны современные видеокарты в задаче подбора паролей. Оказалось, что очень на многое.  создано DALL-E Авторы взяли несколько видеокарт разных поколений: GeForce RTX 2080, RTX 3090, RTX 4090, а также с...

Объёмы поставок газа из России в ЕС по трубам выросли на четверть за первые два месяца 2024 года На долю РФ приходится 18 % от общих объёмов поставок трубопроводного газа в Европу.

Российская компания «Гравитон» начала серийный выпуск твердотельных накопителей Российский производитель вычислительной техники «Гравитон» начал серийное производство нового поколения твердотельных накопителей. SSD типоразмера M.2 2280 с интерфейсом PCIe Gen3x4 NVMe подойдут для использования в ПК, моноблоках и ноутбуках «Гравитон...

Производство электроники и компьютеров в Москве выросло более чем на 296% Заммэра Москвы по вопросам экономической политики и имущественно-земельных отношений Владимир Ефимов сообщил, что столичные производители электроники и компьютеров увеличили выпуск продукции на 296% в январе текущего года по сравнению с прошлым годом. Это свидетельствует о р...

C индустрией производства HDD не всё хорошо: у игроков рынка проблемы. Что происходит? В конце лета мы писали о прогнозе аналитиков относительно продаж жёстких дисков. Некоторые из них, несмотря на постепенный рост отгрузок SSD и уменьшение спроса на HDD, предрекали медленное восстановление последнего. Но это лишь мнение, хотя и аргументированное. Тем не менее...

Попахивает обманом. Базовая Lada Vesta по прайсу стоит 1,24 млн рублей, но купить её невозможно, а дилеры говорят, что такую машину не выпускают АвтоВАЗ неоднократно говорил, что не собирается поднимать стоимость базовой Lada Vesta – этот автомобиль представлен в официальном прайс-листе с ценой всего 1,24 млн рублей. Но, как выяснил ресурс 74.ru, купить такую машину невозможно. Задание во что бы...

Volkswagen Golf GTI 2024 получит более мощный ДВС и «механику» Прототипы обновленного Volkswagen Golf давно тестируются на дорогих общего пользования, но только в начале октября фотошпионы впервые увидели GTI. Как сообщает Autocar со ссылкой на собственных инсайдеров, обновленный Volkswagen Golf GTI выйдет в начале 2024 года с неко...

Мощность крошечного двигателя Suzuki Jimny можно прокачать с 63 до 158 л.с. — популярный внедорожник добавили в Gran Turismo 7 Разработчики из Polyphony Digital выпустили бесплатное обновление для гоночного симулятора Gran Turismo 7, добавив в игру популярный внедорожник Suzuki Jimny. Нет, это не первоапрельская шутка. Маленький внедорожник действительно стал доступен в популярном симуляторе, о...

«Брат» Volvo XC40 для Европы и Китая обновился. Представлен Lynk & Co 01 2024: 254 л.с. и 8-ступенчатый «автомат» во всех комплектациях Lynk & Co 01 занимает особое место в линейке молодого бренда Lynk & Co. Собственно, с этой модели в 2017 году и начался сам бренд. В прошлом году компания вывела на рынок несколько совершенно новых моделей, но о Lynk & Co 01 будто забыли. Уже семь лет он при...

Гигантская оптопара Всем привет, хочу поделиться несколько безумным, но, тем не менее, рабочим вариантом создания источника опорного напряжения. Несмотря на то, что оптопара используется для передачи информации, я решил передать через неё мощность, для чего и понадобилось увеличить размеры. В р...

В Литве заявили о необходимости наращивания Западом военного производства до уровня холодной войны Командующий ВС Литвы считает, что страны Запада просто обязаны существенно нарастить объёмы выпуска военной продукции

Пять лет обновлений – это мало. Samsung хочет еще больше увеличить срок программной поддержки своих смартфонов Google задала новый стандарт программной поддержки смартфонов, когда сообщила, что новейшие Pixel 8 и Pixel 8 Pro будут обновляться в течение 7 лет. Как оказалось, над чем-то подобным работают сейчас и в Samsung. Об этом на конференции Samsung для разработчиков рассказа...

Apple планирует производить 25% всех iPhone в Индии Apple и её поставщики, включая Foxconn, готовятся резко увеличить производство iPhone в Индии, планируя ежегодно выпускать более 50 миллионов единиц в течение следующих 2-3 лет. Этот амбициозный план повысит роль Индии в мировом производстве iPhone, на которую будет приходит...

24 ГБ ОЗУ и 1 ТБ памяти — это теперь смартфон. Xiaomi Redmi K70 Pro получит максимум, что даёт сегодня рынок Смартфон Xiaomi Redmi K70 Pro будет настоящим флагманом 2024 года не только благодаря SoC Snapdragon 8 Gen 3, но и огромному объёму ОЗУ.  Фото: Xiaomi База Geekbench и попавшие в Сеть документы показали, что старшая модификация новинки предложит 24 ГБ оперативной ...

Новые iPad и Mac выйдут в марте По словам Марка Гурмана из Bloomberg, Apple, скорее всего, выпустит новые модели iPad Air, iPad Pro и MacBook Air примерно в конце марта. В свежем выпуске Power On он сообщил, что производство новых моделей iPad Pro и нового 13-дюймового MacBook Air уже идет полным ходо...

Пилим монолит и рисуем архитектуру запрещённой сети: лучшие выпуски IT-шоу «Заходят два архитектора в бар» Слёрм — это учебный центр для IT-специалистов и инженеров. Кроме курсов, мы делаем несколько спецпроектов, и шоу «Заходят два архитектора в бар…» — один из них. Шоу выходит в прямом эфире раз в неделю: приглашённый эксперт выступает с 20-минутным докладом на архитектурную те...

МТС выпустит свой корпоративный мессенджер в следующем году Вице-президент по корпоративному и новому бизнесу российского оператора МТС Олег Алдошин в ходе пресс-конференции сообщил, что в рамках пилотного проекта компания сейчас тестирует корпоративный мессенджер «Линк чаты». По его словам, в настоящее время несколь...

Apple Watch могут лишиться еще одной важной функции Марк Гурман из Bloomberg в новом выпуске своей информационной рассылки под названием Power On заявил, что Apple Watch 2024 года могут остаться без функции обнаружения апноэ во сне. Причина — патентный спор с компанией Maximo.  Нашумевшая тяжба уже привела к блокировке в прод...

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

МегаФон увеличил надежность сети в двух городах Приморья Оператор ввел в эксплуатацию несколько новых волоконно-оптических линий связи (ВОЛС), увеличив пропускную способность сети в 10 раз.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

У дилеров закончились Lada Vesta дешевле 1,6 млн рублей. Спрос на модель упал К середине января 2024 года у российских дилеров Lada Vesta накопились значительные двухмесячные запасы в дорогих комплектациях, о чем пишет Autonews.ru. Глава ассоциации Российские автомобильные дилеры (РОАД) Алексей Подщеколдин отметил, что реальная стоимость этих авт...

Вышла финальная One UI 6.0 на Android 14 для Samsung Galaxy S23, Galaxy S23 Plus и Galaxy S23 Ultra Сегодня праздник у всех пользователей смартфонов Samsung флагманской линейки Galaxy S23 (а их больше 28 миллионов): компания выпустила финальную версию интерфейса One UI 6.0 для Galaxy S23, Galaxy S23 Plus и Galaxy S23 Ultra. Обновление распространяется по воздуху, разм...

АвтоВАЗ подтвердил моторный апгрейд «Нивы»: Lada Niva Legend получит мотор 1,8 Вчера на итоговой пресс-конференции президент АвтоВАЗа Максим Соколов рассказал много всего интересного. В частности, он сообщил, что к концу текущего года появится Niva Sport. Но он также сообщил об ожидаемом обновлении обычной «Нивы». Изображение: Lada По...

Цену PlayStation 5 Pro слили в сеть за полгода до анонса В свежем выпуске подкаста Moore's Law Is Dead стала известна предполагаемая цена игровой консоли PlayStation 5 Pro, хотя ее анонс ожидается только через полгода.

«Русская альтернатива Jeep Gladiator». В Екатеринбурге задумали построить нетипичный УАЗ «Хантер», похожий на «американца» Telegram-канал «Автопоток» опубликовал изображение будущего российского внедорожника, который задумали создать в мастерской MetalHeartGarage из Екатеринбурга. Автомобиль построят на базе УАЗ «Хантер», и будет представлять собой пикап с четыр...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Новая аппаратная новинка Apple может выйти уже в 2025 году: это будет смесь HomePod и iPad Apple работает над новым динамиком HomePod со встроенным в него «iPad-подобным дисплеем», но, по словам Марка Гурмана из Bloomberg, запуск устройства вряд ли состоится раньше 2025 года, если планы будут реализованы. В свежем выпуске Power On Гурман сказал, ч...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Сильные приливы увеличили количество фекальных бактерий в воде Новое исследование выявило скрытую опасность в прибрежных районах: наводнения, вызванные приливами и отливами, могут привести к увеличению количества фекальных бактерий в водотоках. Хотя загрязнение обычно быстро исчезает, оно представляет собой потенциальный риск для здоров...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Тут, все что известно о Galaxy Watch 7. Стоит ли ждать, или купить сейчас Galaxy Watch 6 Samsung Galaxy Watch 6 — одни из лучших смарт-часов, которые вы можете купить для любого телефона. Хотя до выхода новых часов еще более полугода, я бы хотел, чтобы в 2024 году Samsung сделала несколько более смелых шагов с новыми Galaxy Watch. В моем списке желаний Samsung ...

«В ближайшее время покажем народу. Начали новую марку автомобилей производить», – Александр Лукашенко анонсировал сборку люксовых авто Hongqi в Белоруссии Слухи о том, что в Белоруссии будут производить автомобили Hongqi (это люксовый бренд FAW), постепенно превращаются в реальность: об этом уже прямо высказался президент соседней страны Александр Лукашенко. «В ближайшее время покажем народу. Начали новую марку авт...

В компании «Р7» рассказали об обновлении «Р7-Органайзер ПРО» В новой версии «Р7-Органайзер ПРО» появились многочисленные полезные улучшения и обновления. Значительно увеличен объём загружаемых файлов, функционал делегирования и многое другое. Благодаря возможности загрузки объёмных файлов на Р7-Диск значительно облегчится работа польз...

2024 год и далее — Intel пока не называет точные сроки выпуска графики Battlemage Слайды из недавней презентации процессоров Core Ultra пока не дают однозначный ответ на вопрос о сроках выхода следующего поколения графики Intel.

Австралия планирует значительно увеличить свой военно-морской флот Австралия открыла планы по масштабному увеличению своего военно-морского флота в следующем десятилетии, направив в него более 35 миллиардов долларов/

Прорыв в переработке древесины многократно удешевит производство авиатоплива Команда Калифорнийского университета разработала технологию переработки лигнина, которая позволит увеличить выработку биотоплива из растительного сырья. До этого лигнин относили к отходам – это прочное вещество, которое создает «каркас» растений, плохо поддается переработке....

Разработка резидента «Сколково» в пять раз увеличила объем добываемой нефти Решение компании «ВОРМХОЛС Внедрение», резидента «Сколково», позволило снизить среднюю концентрацию механических примесей более чем в 14 раз и увеличить дебит скважины в 5-10 раз.

Microsoft расскажет о новой бизнес-стратегии Xbox на следующей неделе Генеральный директор Microsoft Gaming Фил Спенсер сообщил, что на следующей неделе состоится мероприятие, на котором компания поделится более подробной информации о том, какое будущее ждёт Xbox. Ожидается, что Microsoft расскажет о планах по выпуску собственных игр на игров...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Появились официальные изображения Asus Zenfone 11 Ultra в стиле ROG Phone 8 Надежный инсайдер поделился новыми изображениями Zenfone 11 Ultra, следующего флагманского смартфона Asus. Как и ожидалось, Zenfone 11 Ultra по сути представляет собой переименованный ROG Phone 8, хотя и с элементами дизайна Zenfone

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

У следующего Xbox может быть совершенно новый дизайн Большие изменения могут принести интересные новости поклонникам Xbox, особенно если они приведут к выпуску новых современных устройств

Россия начала производство своего катера-камикадзе Кингисеппский машиностроительный завод (КМЗ) запланировал производство первой партии ГРК-700 «Визир» - безэкипажных морских дронов-камикадзе, заказанных Минобороны, до конца года. Серийный выпуск запланирован на следующий год.

Apple всерьез рассматривает возможность создания складных планшетов Судя по всему, компания планирует внедрить OLED-дисплеи в свои iPad уже в следующем году, а выпуск OLED-модели MacBook ожидается во второй половине 2025 года.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)