Социальные сети Рунета
Вторник, 21 мая 2024

До конца 2027 года Intel освоит выпуск чипов по технологии Intel 10A И внедрит на предприятиях роботов.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

АвтоВАЗ: серийное производство Lada e-Largus стартует летом, а с 15 мая начнут собирать бензиновые машины Президент АвтоВАЗа Максим Соколов заявил, что серийное производство электромобиля e-Largus на заводе в Ижевске стартует летом этого года. Перед этим, уже с 15 мая, стартует массовое производство бензиновых Lada Largus, о чем пишет главный редактор «За рулем»...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

В Японии будет освоен выпуск чипов по технологиям тоньше 2 нм При поддержке национальных инвесторов и государства.

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Россия начала производство своего катера-камикадзе Кингисеппский машиностроительный завод (КМЗ) запланировал производство первой партии ГРК-700 «Визир» - безэкипажных морских дронов-камикадзе, заказанных Минобороны, до конца года. Серийный выпуск запланирован на следующий год.

Яндекс может запустить серийный выпуск роботов-курьеров до конца года Издание «Коммерсантъ» пишет, что Яндекс готовится начать серийное производство роботов-доставщиков. Экспертиза уже сделана и технически компания готова к серийному запуску: сейчас в сборке 130 роботов, их хотят произвести до конца года. Аналогичное количеств...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

В Зеленограде налажен серийный выпуск системных плат с поддержкой российских процессоров В следующем году производство будет расширено.

УАЗ «Патриот» получит обновленный двигатель уже в декабре. Что заменили? Автомобили УАЗ получат обновленный двигатель с другими звездочками привода газораспределительного механизма (ГРМ). «Коломенский завод порошковой металлургии» в городе Коломна Московской области запустил серийное производство комплектующих для новых двигателе...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

В феврале этого года продали ещё меньше «Москвичей», чем в январе Завод «Москвич» в феврале текущего года реализовал более 1050 автомобилей, 100 из которых – это лифтбэк «Москвич 6», сообщает агентство «Автостат». Итоги февраля ещё слабее, чем январские, когда была продана 1221 машина. Для сра...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

7-местные Lada Largus начнут выпускать осенью, но откидных задних окошек не будет — деталь пока не локализована Сегодня АвтоВАЗ перезапускает серийное производство универсалов Lada Largus (по сути, это древний Renault Logan MCV 2006 года, но после нескольких рестайлингов). Причем выпускать будут далеко не всю линейку сразу: сначала на конвейер встанут неостекленные фургоны и 5-ме...

Французские учёные помогут японской компании Rapidus освоить 1-нм технологию К началу следующего десятилетия.

Defense News: в США завершили позволяющие начать серийный выпуск F-35 испытания В США завершили испытания F-35

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

В России освоили и ввели в производство критическую технологию для газовых турбин Александр Конюхов, генеральный директор АО «Силовые машины»: «Открытие производства литых лопаток газовых турбин — это знаковое событие для «Силовых машин» и всей отрасли. Новое производство основано на принципе 100% импортозамещения: наши специалисты разработали и внедрили ...

AMD может доверить выпуск 4-нм процессоров компании Samsung Образцы уже существуют, а серийное производство стартует в следующем году.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

РФЯЦ–ВНИИТФ отгрузил первые волоконные лазеры собственного производства Лазеры Всероссийского научно-исследовательского института технической физики имени академика Е. И. Забабахина (РФЯЦ–ВНИИТФ) предназначены среди прочего для применения в отечественных 3D-принтерах по технологии селективного лазерного сплавления металлопорошковых композиций. ...

Российский семейный кроссовер «Москвич 8» — самый большой в линейке бренда — представят в 2024 Директор по производству «Москвича» Олег Масляков рассказал, когда будет представлен новый кроссовер «Москвич 8» «Москвич 8» - кроссовер D-класса, он будет представлен ближе к концу следующего года», - заявил РИА Новости. Юрий ...

Tesla обещает начать продажи человекоподобных роботов Optimus в конце следующего года А на конвейере Tesla они начнут применяться уже в конце этого года.

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

SK Hynix начинает серийное производство первой в отрасли памяти HBM3E Компания SK hynix Inc. объявила о начале серийного производства HBM3E, новейшего продукта памяти AI со сверхвысокой производительностью, который будет поставляться заказчику с конца марта

Lada Niva, Vesta и Granta получили российские патрубки, шланги и сальники вместо немецких и турецких Как сообщает ТАСС со ссылкой на пресс-службу Министерства промышленности и энергетики Саратовской области, местный завод «Балаковорезинотехника» (БРТ) импортозаместил ряд компонентов для отечественных Lada Niva, Vesta и Granta. Так, для двигателя «Гра...

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

К концу следующего года SK hynix потратит $14,5 млрд на строительство нового предприятия по выпуску памяти HBM Производство будет расширяться не только в США.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

TSMC должна будет к 2028 году освоить в США выпуск 2-нм продукции И построить три предприятия вместо двух.

TSMC начнёт выпуск тестовых 4-нм чипов на новом предприятии в Аризоне в этом месяце Массовое будет развёрнуто к первой половине следующего года.

АвтоВАЗ начал выпускать Lada Granta с новой системой управления Как сообщают инсайдеры паблика Avtograd News, АвтоВАЗ приступил к выпуску автомобилей с ручным управлением. Такие машины обычно предназначаются для людей с ограниченными физическими возможностями. Изображение: Lada Отмечается, что это пробные авто, их еще не поставили ...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

АвтоВАЗ прекратил производство Lada Vesta SW и Lada Vesta SW Cross АвтоВАЗа хватило на месяц с небольшим: производство универсалов Lada Vesta SW и SW Cross стартовало в самом конце октября, а сейчас оно остановлено. Сколько машин с этим типом кузова выпустили за месяц и две недели, неизвестно, но явно немного. Изображение: Lada «...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

В Тольятти начнется выпуск «мягкой» панели приборов для Lada Vesta. Производство перенесли из Ижевска в рекордные сроки АвтоВАЗ сообщил о завершении проекта переноса производства Lada Vesta из Ижевска в Тольятти, последним этапом переезда стал перенос технологии изготовления так называемой «мягкой» панели приборов. На это ушло всего 6 недель. Новое оборудование установили в Т...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Фил Спенсер заверил сотрудников: Xbox продолжит выпускать консоли Бизнес-обновление, в рамках которого будут раскрыты планы на будущее, ожидается на следующей неделе. Слухи о выпуске двух моделей Xbox следующего поколения могут оказаться правдой, но пока что информация остается неопределенной. Спенсер подчеркнул, что проекты Xbox, такие ка...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

На «Камазе» в 2025 году собираются начать выпуск туристических автобусов Изображение иллюстративное По словам гендиректора «Камаза» Сергея Когогина, которые он привел в рамках международного экономического форума «Россия — Исламский мир: KazanForum», в следующем году предприятие приступит к выпуску туристического автобуса, разрабатываемого специ...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

В 2025 году компания Seagate планирует начать выпуск жестких дисков ёмкостью свыше 40 ТБ Хранители информации будут производиться с использованием технологии магнитной записи с термоусадкой

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

«Росэлектроника» начала серийный выпуск KVM коммутаторов для удаленного управления оборудованием Холдинг «Росэлектроника» Госкорпорации Ростех начал серийное производство KVM коммутаторов для удаленного управления серверным оборудованием, персональными компьютерами, ноутбукам и станками с ЧПУ с одного рабочего места.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Panasonic начнёт выпуск усовершенствованных аккумуляторных ячеек типа 2170 в США в следующем году Либо даже в текущем.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Китай приступает к серийному производству 5-нм кристаллов Китай в ближайшее время планирует развернуть серийное производство 5-нм чипов по технологии SAQP

Российский ноутбук с металлическим корпусом запустили в серийное производство Российская компания Гравитон объявила о старте серийного выпуска своего нового премиального ноутбука Н17И-Т.

В России начнут выпуск новой коробки передач — на это выделено более полумиллиарда рублей «Соллерс» запустит производство 6-тиступенчатой механической коробки передач (МКПП) на индустриальной площадке Заволжского моторного завода. Для этого дочернее общество ПАО «Соллерс» — ООО «Соллерс Производство Трансмиссий» &mda...

«Cамый доступный российский легковой автомобиль на высокотехнологической платформе» Lada Iskra уже задерживается Президент компании АвтоВАЗ Максим Соколов подтвердил смещение сроков начала серийного производства Lada Iskra на заводе в Тольятти. «Ещё новая модель — это автомобиль Lada Iskra. Старт её производства запланирован на самое начало 2025 года и это будет с...

Jaguar прекратит выпуск автомобилей с ДВС к июню этого года И начнёт выпускать электромобили на новой платформе со следующего года.

Серийные машины с конвейера бывшего завода Hyundai в Петербурге начнут сходить уже во втором квартале Глава Минпромторга РФ Денис Мантуров заявил, что серийный выпуск автомобилей на бывшем заводе Hyundai в Санкт-Петербурге начнется не позднее 30 июня 2024 года. «Уже производить серийные автомобили начнут со второго квартала текущего года. Поэтому мы рассчитываем, ...

Вслед за Citroen C5 Aircross в Калуге начнут выпуск ещё одной модели Следом за Citroen C5 Aircross компания «Автомобильные технологии» наладит выпуска в Калуге еще одного кроссовера, о чем заявил директор по стратегическому развитию компании Павел Безрученко. «До конца года, как минимум, еще одну модель мы планируем нач...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Tesla начнёт выпускать в Китае системы стационарного хранения электроэнергии К концу следующего года.

TSMC поможет SK hynix к 2026 году освоить выпуск HBM4 Компании подписали меморандум о взаимопонимании.

Выпуск 28-нм чипов в России планируется освоить к 2027 году, 14 нм – к 2030 году Планы, впрочем, склонны меняться

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Началось серийное производство Lada Vesta с мотором 1.8 EVO 122 л.с. и вариатором. Со слов тестировщиков, «КПП работает отлично, без д?рганий и пинков» Инсайдерский паблик Avtograd News сообщил о том, что со вчерашнего дня в Тольятти начался серийный выпуск Lada Vesta с новой агрегатной связкой – 122-сильным мотором 1.8 EVO и китайским вариатором. Со слов тестировщиков «КПП работает отлично». «...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

TSMC поможет SK hynix освоить выпуск памяти типа HBM4 И усилить позиции в противостоянии с Samsung Electronics.

Apple представит новые Mac через несколько дней Apple анонсирует новые Mac в течение нескольких дней. Марк Гурман из Bloomberg утверждает, что анонс состоится до конца октября. Он сообщил, что Apple «планирует запуск нового продукта Mac примерно в конце этого месяца», отмечая, что речь может идти о выпуск...

Cерийное производство наземных станций управления спутниками в России стартует в 2025 году Холдинг «Российские космические системы» (РКС, входит в Роскосмос) готовится начать серийное производство наземных станций командно-измерительных систем. «Серийное производство универсальных наземных станций командно-измерительных систем для замены выр...

Стартовал серийный выпуск Lada Niva Legend с ABS АвтоВАЗ пока об этом молчит, но говорят инсайдеры: стартовал серийный выпуск Lada Niva Legend с ABS. Если ранее такие машины выпускались в единичных экземплярах в рамках отработки технологии, то за вчерашний день было собрана первая партия из 50 машин. «Сегодня 1...

АвтоВАЗ начал выпускать топовую Lada Vesta Techno с новым 122-сильным мотором и вариатором АвтоВАЗ обещал запустить в производство Lada Vesta с новым мотором 1.8 EVO и вариатором в начале текущего года – и запустил. Как сообщил инсайдерский паблик Avtograd news, такие машины уже сходят с конвейера. Изображение: Lada «На прошедшей неделе с конвейе...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Стартовало серийное производство российского оптоволокна для линии связи, которая соединит Европу и Азию Завод «Оптиковолоконные системы» (входит в Роснано) начал серийное производство оптоволокна для Трансъевразийской волоконно-оптической линии связи (TEA NEXT). «Оптиковолоконные системы» — это единственный в России завод по серийному произво...

Массовое производство 2-нм чипов TSMC обещает начать в конце следующего года И тогда же победить дефицит чипов для систем искусственного интеллекта.

Индии потребуется не менее десяти лет, чтобы освоить выпуск 28-нм чипов По консервативным оценкам.

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

В РФ стартует серийное производство нового максимально российского тепловоза Брянский машиностроительный завод (БМЗ) готовится начать серийный выпуск нового магистрального грузового тепловоза 3ТЭ28. Совсем скоро завод получит сертификат на его производство. «Ожидаем сертификацию нового грузового магистрального тепловоза 3ТЭ28, который буде...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

В России планируют освоить производство 28-нм чипов Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов на кремниевых пластинах. Говорится в «дорожной карте» развития индустрии, которую представил замглавы Министерства промышленности Василий Шпак в ра...

Российско-белорусское предприятие выпустит 12-тонный грузовик на водороде Генеральный директор Центра водородной энергетики АФК «Система» Юрий Добровольский рассказал журналистам о создании совместного российско-белорусского предприятия для разработки грузового автомобиля на водороде. На международной конференции по водородной эне...

Как написать свою первую спецификацию на REST API. С какими ошибками может столкнуться аналитик и как их избежать? Всем привет! Делюсь очередным выпуском нашей рубрики «Открытый микрофон», тема этого выпуска — «Как написать свою первую спецификацию на REST API».Спикером стала Мария Яковлева. Маша — ведущий аналитик Платформы Сфера, НОТА (Т1 Консалтинг). В интернете много описаний особенн...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Электронику в машинах российской сборки сделают отечественной. Новые компоненты уже проработаны В России уже проработали некоторые электронные автомобильные компоненты, серийное производство которых запустится в ближайшие два года. Глубокую локализацию электронных автомобильных компонентов планируется поэтапно начать в РФ в 2024-2025 годах, о чем заявил замглавы М...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

АвтоВАЗ представил новый мотор – 1.8 Evo с вариатором. В декабре соберут 25 машин Lada Vesta 1.8 CVT АвтоВАЗ сегодня представил новый мотор с объемом 1,8 л: двигатель называется 1.8 Evo. В сравнении с 1,8-литровым мотором, который ранее устанавливали на Lada Vesta, мощность не изменилась – все те же 122 л.с., но в конструкции 25 новшеств. Если точнее, то в двиг...

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

Намерения TSMC и Samsung освоить выпуск 2-нм чипов в США спутали планы японской Rapidus Теперь она лишается серьёзного рыночного преимущества.

Петербургский бизнес может получить полмиллиона рублей на развитие облачных технологий Провайдер CloudMTS запустил грантовую программу для российских компаний, которые хотят освоить облачные технологии или масштабировать их использование.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Смартфоны Huawei Mate 60 «взорвали рынок». Huawei резко увеличила план по выпуску новых смартфонов Из-за высокого спроса на серию Mate 60 компания Huawei поставила цель по поставкам смартфонов в следующем году на уровне 100 миллионов единиц. Это на 40% больше, чем компания планировала до выпуска серии Mate 60. Лукас Чжун, аналитик Canalys, подтвердил, что новые проду...

Apple планирует выпустить складной iPad уже в следующем году По слухам, выпуск складного iPad запланирован на конец 2024 года

BMW впервые показала секретную «семёрку» с мотором V16 Подразделение BMW Classic анонсировало первый публичный показ прототипа седан BMW 7 серии из 1990-х годов: машину продемонстрируют на выставки Techno-Classica в Эссене. Особенность автомобиля — в 16-цилиндровом V-образном моторе. Само собой, двигатель создавали н...

В действительности Tesla начнёт выпускать новую массовую модель электромобиля ближе к концу следующего года Об этом сообщил глава компании Илон Маск.

Вместо Lada Largus на главном конвейере АвтоВАЗа будут собирать Lada Aura и кроссовера на базе Lada Vesta АвтоВАЗ перезапустил производство Lada Largus на заводе в Ижевске, при этом первая нитка главного конвейера в Тольятти, где раньше собирали эту модель, освободилась под следующие новинки. «Прежде занятая Ларгусами первая нитка главного конвейера АвтоВАЗ в Тольятти...

PS5 Pro «подтверждена»: разработчики начали готовится к релизу Sony готовится к выпуску более мощной консоли PS5 под кодовым названием «Trinity» к концу этого года. The Verge получил эксклюзивные подробности о характеристиках PS5 Pro и дорожной карте разработки.

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Производство систем ESP в России запустят до конца декабря. Их будут выпускать там же, где выпускают системы ABS Сначала в России начали выпускать системы ABS (производство в Костроме стартовало летом), а сейчас готовится к запуску линия по выпуску противозаносных систем (ESP). По словам замглавы Минпромторга РФ Василия Шпака, выпуск ESP начнется уже в текущем месяце. «Сего...

В России делают первый 3D-принтер для крупногабаритных деталей В России делают первый отечественный промышленный 3D-принтер с технологией селективного лазерного сплавления (SLM) для печати крупногабаритных металлических деталей, которые применяют в специализированном машиностроении и аэрокосмической промышленности. Onsint создаст к...

Российская компания выпустит специализированные пожарные дроны Изображение: нейросеть Представители «Корпорации ИнФинТех» в рамках конференции по беспилотным технологиям анонсировали свои первые противопожарные дроны, о подготовке к выпуску которых было объявлено в конце 2023 года. Для производственной площадки был выбран «Технопарк Са...

По словам Илона Маска, следующая версия FSD позволит в десять раз дальше проезжать без вмешательства водителя в управление И она начнёт ограниченное распространение уже в конце этой недели.

В 2024 выйдет «растянутый» до 4,6 м Dacia Duster. Он получит 7 мест и самые мощные моторы В своем отчете об итогах работы в 2023 году Renault Group официально подтвердила выпуск в 2024 году большого кроссовера под брендом Dacia. Это будет серийная версия концепта Bigster, а еще его можно назвать растянутым до примерно 4,6 метра Dacia Duster третьего поколени...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

В этом году «КамАз» выпустит пятую модель серии «Компас» В этом году автомобильный завод «КамАз» планирует начать выпуск лёгкого коммерческого грузовика (LCV) «Компас-3». Он станет пятой, заключительной моделью в линейке «Компас». Об этом было заявлено на торжественном митинге, посвящённом ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Starfield получит поддержку AMD FSR3 на следующей неделе На следующей неделе технология выйдет в бета-версии Steam, а всем остальным станет доступна до конца этого месяца

TSMC придётся к 2025 году найти новое место для строительства своего передового предприятия на Тайване Иначе к 2027 году она не успеет освоить выпуск 1,4-нм продукции.

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Кроссовер "Москвич 8" будет представлен к концу следующего года Олег Масляков, директор автозавода "Москвич", в беседе с РИА Новости поделился информацией о планах представления нового кроссовера D-класса под названием "Москвич 8". По его словам, новая модель запланирована к выпуску ближе к концу следующего года и будет являться одной из...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Samsung и SK hynix прекращают выпуск DDR3 в угоду всё более популярной HBM3. Что будет дальше? На днях стало известно, что два крупнейших производителя оперативной памяти — компании Samsung и SK hynix — решили остановить линии, специализировавшиеся на выпуске DDR3. Вместо этого освободившиеся мощности планируется использовать для расширения объёмов выпуска ИИ-оптимизи...

Таких машин давно не было в продаже: у дилеров появились седаны и универсалы Lada Vesta в цвете «Кориандр» У дилеров АвтоВАЗа вновь начали появляться седаны и универсалы Lada Vesta в цвете «Кориандр» (светлый серо-коричневый металлик), о чем пишет RCI News. Выпуск машин Lada Vesta в таком оттенке не велся долгое время из-за отсутствия краски. Интересно, что впер...

Такими будут премиум-внедорожники Hyundai нового поколения. Первые официальные изображения Genesis Neolun — конкурента Mercedes-Benz GLS и BMW X7 Принадлежащий Hyundai премиум-бренд Genesis представил изображения будущего большого кроссовера Genesis Neolun, который будет конкурировать с Mercedes-Benz GLS и BMW X7. Одними картинками компания ограничиваться не станет, вживую Neolun покажут в конце недели на автосал...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Российская компания «Гравитон» начала серийный выпуск твердотельных накопителей Российский производитель вычислительной техники «Гравитон» начал серийное производство нового поколения твердотельных накопителей. SSD типоразмера M.2 2280 с интерфейсом PCIe Gen3x4 NVMe подойдут для использования в ПК, моноблоках и ноутбуках «Гравитон...

Apple готовится к выпуску новой модели iPad Pro с OLED-дисплеем в конце этого месяца Однако по последним данным, компания также стремится внедрить эту технологию в более доступную линейку iPad Air

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Microsoft расскажет о новой бизнес-стратегии Xbox на следующей неделе Генеральный директор Microsoft Gaming Фил Спенсер сообщил, что на следующей неделе состоится мероприятие, на котором компания поделится более подробной информации о том, какое будущее ждёт Xbox. Ожидается, что Microsoft расскажет о планах по выпуску собственных игр на игров...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

На Украине начали серийное производство собственных аналогов БПЛА Шахед По словам разработчиков, некоторые модели будут даже более совершенными, чем иранские дроны-камикадзе

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Началось серийное производство газовых «Ситимаксов» Павловский автобусный завод (ПАЗ), входящий в «Группу ГАЗ», приступил к серийному производству автобусов ПАЗ-422320-14 «Citymax-9», работающих на компримированном природном газе (КПГ).  Прототип газового «Ситимакса» был впервые ...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

На Lada Granta начали устанавливать «автомат» Toyota АвтоВАЗ все никак не освоит производство хотя бы вариаторных трансмиссий, но частные фирмы уже научились устанавливать на Lada Granta классические «автоматы». Причем речь идет об очень надежной четырехступенчатой трансмиссии Aisin. Изображение: Lada Передел...

Наше — началось серийное производство российских мониторов «Аквариус» Компания «Аквариус» заявил о начале серийного производства российских мониторов. Доступны две модели с экранами диагональю 27" и 23,8". Обе оснащены антибликовым покрытием, имеют разрешение Full HD и поддерживают технологии Low Blue Light, FreeSync...

Как настроить GitHub Actions и не заплакать: пошаговая инструкция Как настроить GitHub Actions и не заплакать: пошаговая инструкцияПривет всем! Меня зовут Виталий, я фронтендер в Mish. Решил недавно освоить полноценный автоматический деплой проекта, чтобы все работало само. Расскажу и вам, что из этого получилось.В статье буду разговариват...

«СуперНиву» ждать еще долго: обещанная Lada Niva Sport выйдет только через год В следующем году Lada Niva Legend исполнится 47 лет, и к этому времени внедорожник наконец-то получит новый мотор. Какой именно – пока точно неизвестно, но получит точно. Называться такой автомобиль будет Lada Niva Sport. О том, что «спортивная» &laqu...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Освоить 2,2 млрд рублей: в России наладят производство комплектующих для КамАЗ, Aurus и сельскохозяйственной спецтехники «Муромский машиностроительный завод» благодаря займу создаст производства импортозамещающих комплектующих для электробусов КамАЗ, автомобилей Aurus и сельскохозяйственной спецтехники. «Фонд развития промышленности профинансировал юбилейный 1500-й проек...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

В России начали производить запчасти для Renault, Nissan и Mitsubishi Компания «Резинотехника» запустила на предприятии в Балакове опытное производство запасных частей для автомобилей иностранных брендов, включая Renault, Nissan и Mitsubishi. Об этом сообщила пресс-служба министерства промышленности и энергетики Саратовской об...

Нейросети на работе: какие задачи они могут взять на себя уже сейчас Вместе с сервисом онлайн-образования Яндекс Практикум разобрались, как искусственный интеллект меняет рынок труда, каким специалистам будет полезно освоить эту технологию и с какими задачами нейросети смогут им помочь.

Таким будет «агрессивный» кроссовер Skoda Enyaq RS Race: первое официальное изображение Skoda показала изображения будущего кроссовера Skoda Enyaq RS Race. Пока это лишь концепт, но очень интересный, и, самое главное, с реальной перспективой воплощения в серийную машину. «Enyaq RS Race — это не проект, который останется на бумаге; это будет на...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Производство «автомобиля для чиновников» Lada Aura начнётся в сентябре 2024 года Вице-президент по внешним связям и взаимодействию с акционерами компании «АвтоВАЗ» Сергей Громак в ходе круглого стола в Совете Федерации рассказал, когда начнётся производство автомобиля Lada Aura. Напомним, Lada Aura — это модель представительского к...

Ростех запустил серийное производство модулей управления для беспилотников Госкорпорация "Ростех" объявила о начале серийного производства инновационных модулей управления для беспилотных летательных аппаратов (БПЛА), что является значительным шагом в развитии отечественных технологий в этом направлении. Новые модули повысят эффективность применени...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

«Возрождение легенды. Новое имя знакомых шин». На заводе Nokian Tyres в Ленинградской области начали выпуск шин Ikon Tyres Компания Ikon Tyres запустила в серийное производство летние шины под одноименным брендом на бывшем заводе Nokian Tyres в Ленинградской области. «Летние шины, которые поступят в розничную продажу весной 2024 года, будут первыми шинами, на которых нанесен бренд Iko...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

«Пока это серая зона», — в Казахстане узаконят Starlink только в следующем году Министр цифрового развития, инноваций и аэрокосмической промышленности Казахстана Багдат Мусин заявил на пресс-конференции в правительстве, что вопрос с использованием в стране интернета Starlink будет законодательно урегулирован только в следующем году. Несмотря на то,...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

АвтоВАЗ выпускает по машине каждые 26 секунд. Уже в декабре начнётся производство новой модели Президент компании АвтоВАЗ Максим Соколов заявил, что завод работает без остановки несмотря на санкции. «Хотя, конечно, проблемы в связи с этим возникли, но мы их решаем. Каждые 26 секунд с конвейеров предприятия сходит один новый автомобиль, и каждый из них наход...

FT: Атаки хуситов вызвали бум перевозок через РФ и привели к процветанию РЖД Это называется асимметричные действия. Неудивительно, если скоро хуситы освоят гиперзвуковые технологии в ракетостроении

АвтоВАЗ подтвердил выпуск минивэна на замену Lada Largus. Он будет «совсем другим и по внешнему виду, и по внутреннему пространству» АвтоВАЗ планирует возобновить производство Lada Largus в следующем году, но в компании уже работают над преемником. Впервые о проекте RGH (то есть минивэне) сообщил летом Telegram-канал «Автопоток», а сейчас появилось официальное подтверждение работ по проек...

Илон Маск хочет наладить выпуск электрических грузовиков Tesla Semi в Германии Но не раньше, чем развернёт серийный выпуск в Неваде.

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

Новые iPad и Mac выйдут в марте По словам Марка Гурмана из Bloomberg, Apple, скорее всего, выпустит новые модели iPad Air, iPad Pro и MacBook Air примерно в конце марта. В свежем выпуске Power On он сообщил, что производство новых моделей iPad Pro и нового 13-дюймового MacBook Air уже идет полным ходо...

AMD FSR появится в смартфонах Samsung следующего поколения Предполагается, что следующие чипсеты Samsung и Qualcomm будут использовать технологию масштабирования от AMD, что значительно повысит производительность мобильных графических ускорителей даже на устройствах среднего уровня

Производитель российских электромобилей Evolute может начать выпуск бензиновых машин Сегодня компания «Моторинвест» сообщила о запуске серийного производства электрического кроссовера Evolute i-SKY на собственном заводе в Липецкой области. Во время общения с журналистами заместитель генерального директора предприятия Сергей Мамонтов зая...

«Первые тысячи FPV-дронов, первые сотни агродронов и дронов других применений», — массовое производство БПЛА стартует в Самарской области Генеральный директор компании «Транспорт будущего» Юрий Козаренко заявил, что до конца текущего года предприятие запустит серийное производство беспилотников на площадке в Самарской области. «До конца года мы уже запускаем корпуса. Мы начинаем серийное...

Базовые станции мобильной связи 4G и 5G начнут выпускать в Томске Российский телеком-производитель «Иртея», входящий в структуру МТС, планирует в следующем году приступить на производственной площадке компании «Микран» в Томске к выпуску базовых станций для сетей 4G и 5G.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

Таким будет самый крутой «Танк». Серийный Tank 800 запатентовали в Китае: изображения и характеристики Как сообщают «Китайские автомобили», в КНР запатентовали внедорожник Tank 800 – он станет флагманом нынешней линейки Tank. Серийная модель имеет ряд отличий от прототипа, демонстрировавшегося ранее. У серийной модели новый бампер с интегрированным рад...

350-сильный седан Lynk & Co 03 доступен для предзаказа в Китае. Это первый китайский серийный спорткар для дорог общего пользования В Китае начали принимать предварительные заявки на покупку крутого седана Lynk & Co 03. Автомобиль будет доступен для заказа до 17 октября, плата за предзаказ невелика – всего 1000 юаней (примерно 135 долларов). А вот сколько придется заплатить за сам авт...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

SberJazz XR — виртуальные встречи в 3D В феврале 2020 года началась пандемия, мы перешли на удалённый формат работы и очень быстро освоили новый поведенческий паттерн взаимодействия друг с другом в формате онлайн с помощью сервисов ВКС. Однако со временем недостаток живого общения и ощущения физического присутств...

Мошенники используют технологии дипфейков на сайтах знакомств для создания ложных образов Злоумышленники на сайтах знакомств освоили технологии дипфейков для создания ложных образов в видеочатах, целью которых является обман и вымогательство денег у доверчивых пользователей.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Больше Land Cruiser 300 и намного современнее: представлен концепт Infiniti QX Monograph – предвестник серийного Infiniti QX80 нового поколения В Китае состоялась премьера концепт-кара Infiniti QX Monograph – он наглядно демонстрирует, каким будет серийный Infiniti QX80 нового поколения. Причем концепт не сильно концептуален: он вполне выглядит как серийный автомобиль. Infiniti QX Monograph получил боль...

В России появилась эффективная технология создания высокопрочных стекол Специалистами входящей в «Ростех» ОНПП «Технология» разработана и освоена уникальная малозатратная технология изготовления высокопрочных стекол, предназначенных для применения на транспорте, включая железнодорожный, а также на истребителях.

Яндекс планирует запустить серийное производство роботов-курьеров Это может произойти до конца 2024 года

В России наладят серийный выпуск отечественных двигателей для самолётов Ан-124 Руслан Срок службы самолётов данного класса увеличат до 45 лет.

Apple не стала заморачиваться и создаёт для себя облачные серверы ИИ на основе обычной SoC M2 Ultra. Позже начнут использовать M4 Компания Apple, возможно, не будет заморачиваться с разработкой собственного специализированного процессора для облачных серверов. Или по крайней мере такой CPU выйдет нескоро, так как Apple будет использовать обычные потребительские SoC.  фото: Apple Как сообщает...

Российские мыши и мухи отправятся в космос в следующем году «Бион-М» «Роскосмос» рассказал о своих планах запуска на орбиту создающегося РОС научного спутника типа «Бион-М» под номером 2, который, помимо технического оборудования, будет иметь на борту мышей, а также мух-дрозофилов — старт аппарата должен состояться до конца июля сле...

Xiaomi постарается выпустить свой первый электрический кроссовер к концу следующего года Напоминаем — следующим годом является 2025.

Стартовало производство Lada Vesta Sportline. Выпускать будут по 2-4 машины в день АвтоВАЗ официально представил Lada Vesta Sportline в начале октября, но производство автомобиля стартовало только на текущей неделе. Об этом сообщил инсайдерский паблик Avtograd News. Объемы выпуска мизерные, а широко разрекламированных универсалов нет. Так выглядит La...

Toshiba представляет жёсткие диски ёмкостью более 30 Тбайт с использованием технологий HAMR и MAMR Toshiba, глобальный лидер в области электроники, представила революционные серийные жёсткие диски ёмкостью более 30 Тбайт. Благодаря передовым технологиям HAMR и MAMR, компания значительно увеличила плотность записи данных, открыв новые возможности для хранения и обработки и...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Следующий дешёвый электрокар Tesla не придётся ждать так долго, как Cybertruck Утверждается, что компания намерена начать его продажи уже в следующем году

В России возобновился выпуск Citroen C5 Aircross В Калуге возобновлено серийное производство кроссовера Citroen C5 Aircross с прогнозируемой стоимостью в 4,3 млн рублей и стартом продаж в мае.

Представлен Aston Martin Vantage GT3 Aston Martin отправила новый Vantage GT3 в производство до того, как он был официально представлен. Теперь же Aston не только подробно рассказала о новой дорожной версии Vantage, но и поделилась информацией о гоночном автомобиле. Вкратце, Vantage GT3 — это обычный...

"Росатом" разворачивает новую эру в аддитивных технологиях с запуском промышленных 3D-принтеров "Росатом" запустил в серийное производство девять среднегабаритных 3D-принтеров для промышленного использования, использующих технологию SLM. Улучшенная модель RusMelt 310M, внесенная в реестр Минпромторга как отечественный товар, обещает покрыть значительную долю потребност...

Apple представит новые iMac до конца октября В прошлом журналист издания Bloomberg Марк Гурман говорил о том, что в этом году компания Apple не будет делать никаких крупных анонсов. Однако в новом выпуске своей новостной рассылки под названием Power On инсайдер неожиданно сообщил о скором выходе новых iMac. По словам Г...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Процессор Intel Lunar Lake будет доступен в конце 2024 года, но в ограниченном количестве Процессор Intel Lunar Lake будет доступен в конце 2024 года в ограниченном количестве, а его массовый выпуск запланирован на 2025 год

Следующий «Гелик» получит новую технологию подвески. Первые детали Шпионские снимки показали обновленный Mercedes-Benz G-Class на тестах. Британское издание Autocar недавно прокатилось на одном из прототипов и обнаружило новую технологию подвески, которая появится в обновленном внедорожнике. Motor1.com обратился к Mercedes за более под...

Сборку «очень долгожданного для рынка» Lada Largus и модернизацию линии показали на видео В Ижевске началась сборка опытных образцов автомобилей Lada Largus с двигателем внутреннего сгорания, которую показали в следующем видеоролике. На данный момент ведется сборка опытных образцов, модернизируется производственная линия, вносятся различные улучшения, о чем ...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

В сфере выпуска 3-нм процессоров Qualcomm в следующем году будет зависеть от TSMC Samsung составить ей компанию не смогла.

Выпуск процессоров Intel Meteor Lake Core Ultra 9 может задержаться до следующего года Впрочем, в текущем году ряд представителей Meteor Lake всё же будет представлен.

Украина планирует купить у Турции истребители 5-го поколения, выпуск которых пока не стартовал Есть все основания полагать, что серийное производство KAAN начнётся очень нескоро

«Почта России» приостановила проект по доставке грузов беспилотниками Согласно федеральной программе по беспилотной транспортировке грузов, до конца текущего года «Почта России» планировала освоить беспилотниками вертолетного типа порядка 7 постоянных маршрутов в районе Ямале и еще 21 — на Чукотке. Причем некоторые планировалось запустить в эк...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

КамАЗ готовится представить серийный «Челнок»? Запатентован логотип нового грузовика КамАЗа, у которого не будет кабины КамАЗ запатентовал графическое изображение логотипа своего нового грузовика под названием «Челнок». Не исключено, что компания в скором времени сможет представить серийную версию своего принципиально нового грузовика. «Челнок» имеет собственный ...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

АвтоВАЗ отказался от выпуска версии Lada Granta за 720 тыс. рублей. Причина – отсутствие спроса АвтоВАЗ откорректировал фирменный прайс-лист, и в нем больше нет универсала Lada Granta. Эту версию «Гранты», напомним, начали выпускать в августе 2018 года и собирали вплоть до конца 2022 года. Цены варьировались в диапазоне от 720 до 914 тыс. рублей. Изоб...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Президент АвтоВАЗа лично проконтролировал производство 122-сильного мотора 1.8 EVO для автомобилей Lada Президент АвтоВАЗ Максим Соколов и исполнительный вице-президент по производству Михаил Рябов посетили производство новых двигателей. В ходе визита профильные руководители и специалисты продемонстрировали сборку и рассказали о перспективах развития двигателей 1.8 EVO, к...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Спасибо, Qualcomm, дальше сами. Huawei с 2024 года перейдет на собственные однокристальные системы Как сообщает ресурс MyDrivers, Huawei запустила процесс расчистки складских запасов в рамках подготовки к выпуску большого количества новинок. Их выход ожидается в конце текущего – начале следующего года. Устройств будет так много, что это называют «наводнен...

Металлурги «Северстали» освоили производство водораспыленных порошков На Череповецком металлургическом комбинате, ключевом предприятии ПАО «Северсталь», введена в эксплуатацию установка по производству водораспыленных нержавеющих и низколегированных порошков для изготовления деталей по технологиям прессования и 3D-печати.

Обуховский завод освоил производство аддитивных систем 3D-печати литейной оснастки Два 3D-принтера «Бархан-1200» по технологии струйно-порошковой печати песчаными смесями (Binder Jetting) уже изготовлены и готовятся к отправке заказчикам.

Производство универсалов Lada Vesta SW NG возобновится в ближайшие дни АвтоВАЗ собирается возобновить производство Lada Vesta SW NG уже примерно через неделю, о чём сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Подготовка к возобновлению серийного выпуска Lada Vesta SW NG начнется на АВТОВ...

SK hynix рассчитывает начать выпуск HBM в США во второй половине 2028 года На строительство местного предприятия будет потрачено $3,87 млрд.

Intel отказалась от своей технологии криогенного охлаждения процессоров Intel впервые представила технологию Cryo Cooling с выпуском 10-го поколения процессоров Core (Comet Lake).

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Складной iPad может быть анонсирован уже в следующем году Авторы тайваньского ресурса DigiTimes утверждают, что сейчас инженеры Apple активно работают над первым складным устройством компании. Им станет планшет. Складной iPad может появиться уже в конце следующего года.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No commen...

Генеральный конструктор ОДК назвал новые направления деятельности корпорации Объединенная двигателестроительная корпорация Ростеха планирует освоить четыре новых продуктовых направления, среди которых электрические машины, топливные элементы, поршневые авиадвигатели и микротурбины для энергетической отрасли. Для создания перспективных двигателей буду...

Серийная сборка и производство боевого БПЛА С-70 "Охотник" начнется во второй половине 2024 года Озвучены сроки, когда начнется серийное производство БПЛА С-70 "Охотник".

ВКС России передана новая серийная партия суперсовременных истребителей Су-57 С недавних пор Су-57 действительно в серийном производстве.

Компания Nothing готовится к выпуску своего следующего устройства, Nothing Phone 3 Однако, пока неизвестно, чем будет отличаться Nothing Phone 3 от предыдущей модели 2a

В следующем году HBM будет отвечать за 10% объёмов выпуска всей памяти DRAM А в денежном выражении она займёт более 30%.

В России начнут выпускать отечественные серверы на базе технологий Intel Российский производитель электроники «Гравитон» начнёт выпускать серверы на чипах Intel Xeon Emerald Rapids

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

В России займутся сложным ремонтом двигателей Airbus и Boeing Airbus A320 Специалисты компании S7 Technics, которая состоит в S7 Group, полностью освоили технологию капитального ремонта двигателей моделей CFM56-5B и CFM56-7B, являющихся силовыми установками лайнеров Airbus A320, также Boeing 737.

Первая дизель-электрическая ПЛ проекта "Лада" с новейшей гидролокационной технологией встала в строй С большим опозданием первая из серийных дизель-электрических лодок класса "Лада" была принята на вооружение ВМФ России.

TSMC не боится перепроизводства чипов по зрелым технологиям И будет расширять выпуск 28-нм продукции.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

CATL начнёт выпуск твердотельных аккумуляторов не ранее 2027 года И они в любом случае будут долго оставаться дорогими и нераспространёнными.

Министр обороны Франции заявил, что в стране закончился порох для выпуска снарядов для Украины Производство пороха расширяется, но первые поставки начнут оказывать влияние на выпуск боеприпасов только к середине 2025 года

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

В Омске начато серийное производство вакуумных криогенных насосов мирового класса Производитель намерен к 2026 году забрать до половины всего рынка устройств.

В России начали серийное производство принтеров на отечественных системных платах Российская компания «Катюша Принт» запустила серийное производство принтеров и многофункциональных устройств (МФУ) под брендом «Катюша» на отечественных системных платах.

В 2024 году в России начнут серийное производство скоростных катеров серии Master Pro Быстроходные малые судна пользуются высокой популярностью среди силовых ведомств РФ

В России восстанавливают завод ТагАЗ с вековой историей Реконструкцию второго корпуса предполагают завершить к сентябрю 2024 года. Всю территорию ТагАЗа (всего 80 га) планируется освоить к 2025 году. При выходе на полную мощность здесь начнут работать полторы тысячи человек.

iPad Mini 7 получит улучшенные камеры и чип A16 Bionic Следующее поколение iPad Mini, как сообщается, будет выпущено в конце 2023 или в начале 2024 года.

Dodge Charger следующего поколения показали в рекламе Новая модель Dodge Charger будет представлена в конце 2024 года

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

АвтоВАЗ отгрузит сотни специальных автомобилей Lada до конца недели АвтоВАЗ отгрузит до конца года около 700 специальных автомобилей Lada, предназначенных для людей с ограниченными возможностями, о чем сообщил вице-премьер и глава Минпромторга РФ Денис Мантуров. «У нас уже есть действующая программа по реализации таких машин, я им...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Росатом передал свой первый 3D-принтер университету Томска На форуме «Атомэкспо» Росатом передал Томскому политехническому университету первый серийный принтер для трехмерной печати. В университете создадут центр аддитивных технологий общего доступа. Это позволит внедрить аддитивные технологии в производственные процессы предприятий...

В iOS 18 появится ряд функций, связанных с генеративным ИИ По словам Джеффа Пу, аналитика инвестиционной компании Haitong International Securities, Apple планирует начать внедрение технологий генеративного искусственного интеллекта на iPhone и iPad ближе к концу 2024 года.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comm...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

«Убийцу» Mercedes-Maybach GLS от Huawei начали выпускать в Китае. Большой, мощный и «умный» внедорожник Aito M9 засняли на конвейере Важное событие на китайском авторынке: в Чунцине на заводе Seres стартовало серийное производство флагманского кроссовера Huawei Aito M9. Интересно, что сама Huawei об этом ничего не сообщила – просто фабрику посетили репортеры и местные чиновники. Они ознакомилис...

«Выкатим нечто новое»: Яндекс уже тестирует следующую «Алису» Команда Яндекса начала тестировать фирменный голосовой помощник следующего поколения. Об этом рассказал журналистам Дмитрий Масюк, руководитель бизнес-группы «Поиска» и рекламных технологий Яндекса.  Сгенерировано нейросетью Midjourney Компания планиру...

Россия возобновит производство двигателей для легендарных "Русланов" к 2027 году К концу 2027 года Россия планирует возобновить серийное производство двигателей Д-18Т для военно-транспортных самолетов Ан-124 "Руслан"

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Starfield получила поддержку технологии AMD FSR3 Пока технология доступна пользователям Steam в режиме бета-версии, но до конца месяца её смогут использовать все игроки

Предприятие Ростеха освоило выпуск комплектующих для аддитивного производства Обнинское научно-производственное предприятие «Технология» имени А. Г. Ромашина выпускает комплектующие из технической керамики для оборудования, применяемого в производстве металлических порошков для промышленных 3D-принтеров. Мощности предприятия позволят закрыть дефицит, ...

Путин будет баллотироваться на еще один президентский срок в 2024 году Путин хочет довести начатое до конца

Путин будет баллотироваться на еще один президентский срок в 2024 году Путин хочет довести начатое до конца

Обзор Чипа Внешней I2C Памяти AT24Cхх AT24C02M5/TR это EEPROM на 256 байт с доступом по двухпроводному синхронному последовательному интерфейсу I2C.В разработке электронных плат часто надо подписывать электронные платы каким-то серийным номером. Это нужно для идентификации платы при серийном производстве.Внешние...

В мае Sony начнёт выпуск лазеров, которые позволят увеличить ёмкость жёстких дисков до 30 Тбайт Использовать их в своей продукции будет компания Seagate Technology.

BYD продолжает запускать заводы в новых странах: стартовало производство машин в Таиланде, на очереди — Индонезия BYD начала тестовое производство на заводе в Таиланде. Серийное производство начнется в следующем месяце. Планируемый объем производства тайского завода составит 150 000 автомобилей в год. В апреле 2024 года BYD также начнет строительство сборочного завода в Индонезии. ...

Apple готовится приступить к продажам гарнитур Vision Pro в феврале следующего года Первая партия будет готова к концу января.

Следующим эксклюзивом PlayStation на ПК скоро станет God of War Ragnarok Надёжные инсайдеры сообщают, что God of War Ragnarok будет анонсирован на ПК до конца мая. Это уже третий эксклюзив Sony для ПК после Days Gone и Horizon.

АвтоВАЗ обнародовал период старта серийного выпуска российского электрокара Lada Largus Компания рассекретила свои планы по срокам начала производства своего автомобиля с электродвигателем.

Tesla в 2025 году выпустит бюджетный электрокроссовер Redwood Tesla готовится к серийному выпуску новой модели своих электромобилей. Речь идёт о компактном и бюджетном по сравнению с остальным модельным рядом кроссовере Redwood.

В России разработали полностью отечественные зарядные станции для электромобилей В пресс-службе Уфимского университета науки и технологий (УУНиТ) сообщили, что ученые вуза разработали и запустили в серийное производство зарядные станции для электромобилей, полностью собранные из отечественных компонентов. Они имеют мощность 150, 120 и 90 кВт и будут дост...

В России начали производство электрокроссовера Evolute i-Sky Компания «Моторинвест» объявила о старте серийного производства на заводе в Липецке электрического кроссовера Evolute i-Sky

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

В военном ведомстве РФ рассказали о подготовке к выпуску лёгкого транспортного самолёта Ил-212 Опытный экземпляр транспортника должен быть готов к концу 2026 года.

Серийный Tank 300 Hi4-T впервые засняли в Китае. Это самая экономичная версия внедорожника В Китае засняли Tank 300 Hi4-T в серийном обличье. Особенность этой модели заключается в гибридной силовой установке — вместе с 2,0-литровым турбомотором работает электромотор, питающийся от тяговой батареи емкостью 37,11 кВт·ч. Такой внедорожник способен п...

Canon начнет выпуск установок для нанопечатной литографии уже в этом году Компания Canon готовится к выпуску своей машины для нанопечатной литографии FPA-1200NZ2C в этом году. Хироаки Такеиши, председатель совета директоров и генеральный директор компании, сообщил о планах начать поставки в 2024 году, подчеркнув простоту и экономичность производст...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

OpenAI стал связующим звеном в мире технологий в 2023 году Следующий год покажет, будет ли это мучение или нет.

Выпущена первая отечественная серийная газовая турбина мощностью 170 МВт Российская энергомашиностроительная компания «Силовые машины» завершила изготовление первой серийной газовой турбины большой мощности ГТЭ-170 в комплекте с генератором и котлом-утилизатором, она была заказана для Нижнекамской ТЭЦ. «Силовые машины»...

РЖД получит 12 российских улучшенных аналогов «Ласточек» в этом году и ещё 20 — в следующем Заместитель генерального директора холдинга «Российские железные дороги» Иван Колесников заявил, что РЖД планируют получить 20 российских улучшенных аналогов «Ласточек» в 2024 году. «В 2024 году ОАО "РЖД" планирует получить порядк...

В России собираются начать серийное производство не имеющих отечественных аналогов высокотемпературных двигателей В России планируют начать серийное производство двигателей, которые могут работать при температуре свыше 100 °C и не имеют отечественных аналогов, уже в третьем квартале. Такие моторы планируют использовать в механизмах и технике, которая работает в экстремальных ус...

Intel установила первый литографический сканер ASML, который будет использован при выпуске чипов по технологии Intel 14A Его ещё предстоит настроить и ввести в эксплуатацию.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Индонезия запустила серийное производство новейшего танка Tiger Данная техника стала первым современным средним танком, имеющим передовые технологии

Серийное производство «Джихад-машины» запустят в 2024 году Министр промышленности и энергетики Чечни Адам Хакимов заявил, что на базе завода «Чеченавто» в 2024 году планируют запустить производство боевой «Джихад-машины» для нужд специальной военной операции. «В начале этого месяца уже получили кон...

Nissan начнёт лить электромобили по технологии Tesla для удешевления производства Японский автопроизводитель Nissan объявил о планах внедрить технологию гигакастинга для удешевления производства автомобилей, в том числе электрических моделей.

Чип Tesla для автопилота следующего поколения будет выпускаться TSMC по 3-нм технологии Со второй половины следующего года.

Новая часть Call of Duty может получить название Black Ops 6 Новая утечка раскрывает возможное название следующей Call of Duty - Black Ops 6. Игра может быть представлена в конце мая.

Первые автомобили УАЗ планируют начать выпускать на заводе «Чеченавто» в 2024 году Председатель правительства Чечни Муслим Хучиев заявил, что выпуск автомобилей УАЗ на автомобилестроительном заводе «Чеченавто» планируют начать в 2024 году. «Вы уже можете проехать на чеченской "Газеле", на чеченском "Автовазе", но,...

Mitsubishi задумала выпустить «японский Range Rover». Mitsubishi Pajero следующего поколения лишится рамы и будет построен на платформе Outlander Японский ресурс BestCarWeb опубликовал подробности о будущем Mitsubishi Pajero. Увы, он утратит весь тот набор внедорожных способностей, которые есть у нынешнего Pajero: машина нового поколения лишится рамы и получит несущий кузов. В принципе, в этом нет ничего удивит...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

«Аквариус» и Росатом хотят выпускать микроконтроллеры для шифрования данных По сообщению издания «Коммерсантъ», Росатом и «Аквариус» создают совместное предприятие по выпуску микроконтроллеров для шифрования данных в вычислительной технике. Об этом изданию рассказал источник, знакомый с проектом, но сами компании информа...

Samsung начинает серийное производство самой современной памяти NAND Благодаря инновационной технологии вертикального расположения ячеек памяти, Samsung вырвалась далеко вперед от SK Hynix и Kioxia в гонке производительности флэш-накопителей.

Дженнифер Лопес рекламирует Fiat 500e Бренд Fiat анонсирует выпуск своего следующего совершенно нового, полностью электрического Fiat 500e в США в новом музыкальном видео Дженнифер Лопес на ее сингл Can't Get Enough. «Can't Get Enough продолжает давние отношения между Fiat и Дженнифер Лопес, к...

Toyota продолжает играть в кубики. Представлен Toyota Kayoibako – многогранный, компактный (но просторный) автомобиль-трансформер Toyota, будучи флагманом японского автомобилестроения, продолжает атаковать новинками, приуроченными к домашней выставке Japan Mobility Show. А иначе ведь не поймут: что это за флагман, которому лень рисовать концепты к большому домашнему мероприятию? Про крутой внедоро...

Российские подразделения начали штурм населённого пункта Красногоровка Сообщается, что продвижение проходит достаточно быстро и город, наверняка, будет освобождён до конца мая.

[recovery mode] С какого курса начать обучение программированию для детей? Голосование Знакомство с программированием может начинаться уже с первого класса и даже раньше: с пяти лет. Даже в этом возрасте ребенок способен освоить логику и основные принципы написания кода. Для обучения можно использовать различные языки программирования, например, Скретч, Пайтон...

«Росатом» освоил технологию изготовления нового оборудования для комплекса по переработке ТРО Руководитель проекта "КП РАО» АО «СвердНИИхиммаш" Сергей Коржавин: "СвердНИИхиммаш» разработал аналог с модернизацией, учитывая опыт эксплуатации прессового оборудования предыдущих модификаций. Данные решения позволили нам выпустить новый продукт на рынок России и не зависет...

Дизайн Huawei P70 подтверждён. Опубликованы фото чехлов Фотография чехла для смартфона Huawei P70 подтверждает дизайн нового флагмана. Снимок опубликовал инсайдер под ником Digital Chat Station. Ранее он же сообщил, что выпуск мобильных телефонов Huawei серии P70 ориентировочно запланирован на конец марта. Новинки получат но...

Последствия низкой загрузки конвейера Intel будет ощущать до конца следующего года На этапе кризиса перепроизводства выпускаемая продукция оставалась дорогой.

УАЗы могут начать производить в Гане Автомобили марки УАЗ могут начать производить в столицы Ганы и первые ласточки сойдут с конвейера в африканской стране уже в первом квартале 2024 года. Об этом рассказал журналистам председатель ганско-российского совета по развитию бизнеса Лоуренс Ауку-Боатенг. Фото: ...

Чипсет Apple A19 Pro не будет построен по 2-мм технологии Утверждается, что 2-нм узел TSMC не будет готов к массовому производству в следующем году.

Easy English с левой задней ноги Привет, Хабр!Меня зовут Дмитрий и я многократный чемпион по бесплодным попыткам освоить английский язык. Гениальные Д.Петров, С.Дружбинский и десятки талантливых блогеров — не приблизили меня к мечте. Замечательные Duolingo, Memrise, Anki и прочие, помога...

Дополнение, моды и регулярные обновления: авторы Starfield поделились планом поддержки игры в следующем году Лёд тронулся, товарищи. Скоро можно будет начать приступать к прохождению.

Первые покупатели начнут получать гуманоидного робота Tesla Optimus уже в 2025 году В мире технологий наступает новая эра: Tesla планирует начать поставки своего первого гуманоидного робота Optimus уже в 2025 году.

В западных СМИ начали обсуждать танк Т-95, который танк и не пошел в серийное производство По мнению экспертов, данная боевая машина опережала своём время и стала бы одной из самых опасных на поле боя даже на сегодняшний день

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

ЛиАЗ тестирует городской автобус нового поколения Citymax 12 Ликинский автобусный завод (ЛиАЗ) готовится начать выпуск городского автобуса следующего поколения, о чем пишет «Российская газета». В данный момент на заводе осуществляется модернизация производства, происходит монтаж новой линии сварки каркаса кузова, заку...

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Pure Storage: выпуск жестких дисков прекратится в 2028 году Выпуск жестких дисков (HDD) может прекратиться после 2028 года. Это будет означать конец эпохи магнитных накопителей. Такой прогноз сделал Шон Розмарин из компании Pure Storage.

До конца года в России начнут серийное производство мини-камер машинного зрения Холдинг Росэлектроника госкорпорации Ростех собирается запустить серийное производство мини-камер машинного зрения, которые должны заменить зарубежные аналоги. Разработкой устройств занимается НПП «Пульсар», входящее в холдинг Росэлектроника. Замгендиректора...

Введение в Apache Flink: осваиваем фреймворк на реальных примерах Всем привет, меня зовут Александр Бобряков. Я техлид в команде МТС Аналитики, занимаюсь Real-Time обработкой данных. Недавно мы начали использовать фреймворк Apache Flink. Эту технологию выбрали, так как она (в отличие от Apache Spark) относится к true-стримингу и позволяет ...

Российский аналог GitHub пока не начали создавать, хотя деньги технически выделили ещё в 2007 году Похоже, российского аналога GitHub пока ждать не стоит. Как сообщается, этот проект не получил финансирования.  создано DALL-E «Ведомости» сообщают, что эксперимент по созданию аналогичного GitHub репозитория ПО с открытым исходным кодом должен был бы ...

Намного больше RAV4, но чуть меньше Highlander. Toyota анонсировала люксовый полноприводный кроссовер Crown SUV Toyota неутомима: компания всего несколько месяцев назад представила новейший Landr Cruiser Prado 250, а уже на следующей неделе будут представлены еще две совершенно новые модели: Camry девятого поколения и люксовый кроссовер Crown SUV. Последний частично продемонстрир...

АвтоВАЗа работает над полноприводной гибридной Lada АвтоВАЗ работает над прототипом гибридомобиля, об этом на конференции «Автонет» представитель АвтоВАЗа Александр Виноградов. По его словам, в компании считают, что у гибридов больше перспектив, чем у чистых электромобилей. Возможное изображение кроссовера н...

Опубликован график выхода новых Mac с чипами M4 Марк Гурман из Bloomberg недавно сообщил, что первые компьютеры Mac с чипами серии M4 будут выпущены позднее в этом году, а в следующем году появятся новые модели. Теперь же он опубликовал более точный график выхода. В ближайшие пару ожидаются: Недорогой 14-дюймовый M...

Автомобили с «бородавками» на крыше станут историей: представлен новый лидар Hesai, который не портит дизайн и аэродинамику Шанхайский производитель лидаров Hesai Technology представил модель ET25 на Пекинском автосалоне. Новый лидар предназначен для размещения за лобовым стеклом и внутри салона, а не снаружи автомобиля, как обычно. Массовое производство начнется во втором квартале следующег...

«Следующий смартфон Nokia». HMD Global прямо намекает на продолжение выпуска смартфонов легендарной марки Компания HMD Global ранее выпустила ряд тизеров, которые предполагали, что она откажется от бренда Nokia и запустит модели собственного бренда, что вызвало критику со стороны многих СМИ и поклонников Nokia. Вчера компания опубликовала на YouTube новое видео, утверждая,...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Apple наконец-то кинулась инвестировать в искусственный интеллект, но пока отстаёт от рынка. В следующем году компания потратит почти 5 млрд долларов Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Похоже, Apple действительно решила начать активно инвестировать в развитие собственного генеративного искусственного интеллекта. Как сообщается, только в следующем году на это направлен...

Названы сроки выпуска Nothing Phone 3, запуск ожидается в конце второго квартала 2024 года Ожидается, что Nothing Phone 3 будет оснащен процессором Snapdragon 8S Gen 3, который представляет собой модернизацию процессора Snapdragon 8+ Gen 1

MSI официально объявила о выпуске новых BIOS для поддержки процессоров AMD AM5 следующего поколения Поддержка будет реализовываться на материнских платах X670E, X670, B650 и A620.

В Великобритании уже начали отключать сети 3G. Vodafone намерен завершить процесс в ближайшие месяц-два Пока во многих странах ещё даже не начали строить сети 5G, в Великобритании готовятся полностью отключить сети 3G.  создано DALL-E Сети третьего поколения будут отключены в стране не сразу, но большая часть из них перестанет работать ещё до конца текущего года.&nb...

Lada Vesta с новым мотором и АКПП появится в продаже до конца марта 2024 АвтоВАЗ не подтверждает, что серийное производство Lada Vesta с автоматизированной трансмиссией начнется в январе 2024 года. Ранее об этом написал главный редактор издания «За рулем» Максим Кадаков. Российский завод обещает лишь начать продажи Vesta NG с нов...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Neon Prime, следующий шутер от третьего лица компании Valve В настоящее время дата выпуска не известна, но есть данные, что анонс "близок", поскольку оригинальная торговая марка игры была утверждена

Суммарное потребление энергии всеми ускорителями Nvidia H100 в мире через год будет вдвое больше, чем у Латвии Ускорители Nvidia H100 в ближайшем будущем будут потреблять больше энергии, чем некоторые европейские страны.  Согласно подсчётам инженера Microsoft, один ускоритель H100 в год при средней загрузке в 61% (не очень понятно, почему взят именно этот показатель) в год ...

Авиакомпаниям из России закроют доступ к доменной зоне .aero в конце следующего года В этом году срок действия был продлён в экстренном режиме и буквально в последний момент.

Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Компания Apple, похоже, наконец-то примкнёт к гонке генеративного искусственного интеллекта уже в следующем году.  Аналитик Haitong International Securities Джефф Пу (Jeff Pu) утверждает, что Apple может внедрить генеративный ИИ в iOS 18, которая выйдет ближе к ко...

В российских вузах собираются ввести единый образовательный стандарт по аддитивным технологиям Проект стандарта уже разработан и обсуждается с ведущими университетами страны, сообщила директор Ассоциации развития аддитивных технологий (АРАТ) Ольга Оспенникова на казанском форуме «Аддитивные технологии — новая реальность». Принятие стандарта ожидается в следующем году.

Tesla сможет начать импорт электромобилей в Индию при условии локализации производства в течение двух лет О сотрудничестве может быть объявлено уже в январе следующего года.

Samsung намерена начать массовое производство чипов на стеклянной подложке к 2026 году Компания Samsung решила перейти к следующему поколению упаковочного материала, начав работы по созданию стеклянных подложек к 2026 году

Следующее крупное обновление Windows 11 выйдет в апреле 2024 года. В Windows 11 24H1 реализуют поддержку Wi-Fi 7 для систем Intel Dell раскрыла срок выпуска следующего большого обновления Windows 11 - Windows 11 24H1, также известного как Moment 5. Релиз состоится в апреле, и в эту сборку включат драйвер для адаптеров Wi-Fi 7 от Intel. Учитывая, что Dell является давним партнером и Microsoft, и In...

Представлен Yiwei: первый в мире серийный электрокар с безлитиевой батареей Китайский автопроизводитель JAC представил серийный электромобиль, у которого в аккумуляторе вместо лития используется натрий-ионная технология

Ка-50 «Черная акула» - вертолет, опередивший время В истории военной техники порой встречаются образцы, становящиеся легендарными несмотря на отсутствие серийного выпуска и минимальное участие в боевых действиях. К таким можно отнести и Ка-50 – революционный вертолет, который опередил свое время, но не стал действительно мас...

Мошенники освоили многоходовочку: они не просят номер карты, но элегантно оставят вас без гроша Будьте трижды внимательны!

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

По слухам следующий процессор Kirin будет сопоставим с Apple A12Z Один из китайских инсайдеров сообщил часть характеристик ещё не анонсированного процессора HUAWEI Kirin, готовящегося к выпуску

МТС начала готовить специалистов в области цифровой моды ПАО «МТС» запускает всероссийскую образовательную программу по цифровой моде на онлайн-платформе «Поколение М». За короткий срок школьники получат базовые навыки дизайнера цифровой одежды, освоят технологии 3D-моделирования и узнают, как с помощью искусственного интеллекта М...

Инсайдеры объявили апрель месяцем возрождения Lada Granta: возобновится производство машин с «автоматом» и универсалов Инсайдерский паблик Avtograd News сообщил о том, что сегодня на вазовском конвейере собрали первый после долгого перерыва универсал Lada Granta — Lada Granta Cross. «Скоро пойдут в более существенном количестве. Апрель будет месяц возрождения на линии Granta...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

В Москве начали устанавливать первые российские банкоматы. Названа степень локализации Мэр Москвы, Сергей Собянин, объявил, что первые отечественные банкоматы уже начали устанавливаться в городе. «Первые устройства уже получили три банка-партнера. Еще несколько организаций проводят тестирование. Серийный выпуск российских банкоматов начался в индуст...

Новый Nissan GT-R будет «уродливой и угрожающей машиной» На автосалоне в Нью-Йорке в 2024 году старший вице-президент и директор по планированию Nissan в Северной Америке Понц Пандикутира заявил Motor Authority, что бренды GT-R и Z не умрут, хотя, возможно, потребуется некоторые время на выпуск новых моделей. «Следующее...

«Москвич 6» и «Москвич 8» будут собирать старым отверточным способом. По полному циклу пока будут производиться только «Москвич 3» и «Москвич 3е» Завод «Москвич» будет собирать по технологии полного цикла со сваркой и окраской пока что только модели «Москвич 3» и «Москвич 3е». Как пояснила пресс-служба завода, уже выпускаемый лифтбэк «Москвич 6» и «Москвич 8&r...

«Вершина роскошных внедорожников Китая». Tank 800 выйдет до конца 2024 года Принадлежащий Great Wall Motors бренд Tank впервые показал флагманский внедорожник Tank 800 в 2021 году, и с тех пор компания официально не говорила, когда состоится полноценная премьера машины. Молчание нарушено сейчас: на состоявшемся недавно ежегодном собрании акцион...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Супергибрид, который окажется круче Defender 110 и Land Cruiser 300. BYD показала серийный Leopard 8 BYD сегодня представила флагманский полноразмерный внедорожник Leopard 8. Все подробности будут раскрыты на следующей неделе по ходу Пекинского автосалона, но кое-что о новинке в компании рассказали. Машина будет построена на платформе DMO. Leopard 8 преподносится ка...

Xiaomi обсуждает с Chery совместный выпуск машин Компания Xiaomi, которая решила начать выпуск своих автомобилей, обсуждает этот вопрос с несколькими автопроизводителями, включая Brilliance и Chery, о чем Bloomberg сообщили осведомленные источники. Ранее, в августе этого года, Xiaomi также провела переговоры с Beijing...

Комфортом тут и не пахнет, вместо заднего ряда сидений — две «запаски», зато заявлены военные технологии и цена более 138 000 долларов. Появились подробности о внедорожнике M-Hunter от Dongfeng Появились первые фотографии и новые подробности о хардкорном внедорожнике M-Hunter от Dongfeng, который покажут на следующей неделе на Пекинском автосалоне. Ожидается, что цена составит более 138 000 долларов. M-Hunter будет оснащен различными технологиями военного уро...

Уникальный кроссовер и пикап «2-в-1»: в КИтае стартуют предзаказы Changan Qiyuan E07 Когда Changan представила Qiyuan E07 в январе текущего года, сложно было подумать, что этот концепт превратится в серийный автомобиль, однако создатели полны решимости выпустить машину на рынок: Qiyuan E07 уже сертифицирован в Китае. Более того, на следующей неделе в ра...

Смартфоны Sony Xperia 1 VI и 5 VI получат технологию цифровой подписи в камере По сообщениям, Sony планирует оснастить свой смартфон Xperia следующего поколения первой в мире технологией цифровой подписи непосредственно в камере.

Бывший завод Toyota передали Aurus. Производство новой линейки стартует в этом году Бывший завод Toyota в Санкт-Петербурге уже передали компании компании Aurus, о чем сообщил исполняющий обязанности вице-премьера - глава Минпромторга РФ Денис Мантуров. «Передали уже давно, - заявил он в ответ на вопрос о том, передали ли бывший завод Toyota ...

В России спустя два года возобновляется производство современных европейских кроссоверов Citroen C5 Aircross и Peugeot 4008 В России возобновится выпуск современных европейских кроссоверов после двухлетнего перерыва. Завод «ПСМА Рус» в Калужской области планирует собирать соплатформенные модели французских брендов Peugeot и Citroen, используя модульную платформу EMP2 (Efficient M...

Названа самая продаваемая модель Suzuki в истории. Это не Vitara и не Jimny Suzuki, производитель автомобилей и мотоциклов со штаб-квартирой в Сидзуоке, Япония, достиг важной вехи, достигнув к концу августа 2023 года совокупного объема продаж автомобилей по всему миру в 80 миллионов единиц. В настоящее время производитель производит автомобили,...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Аналитика МТС: на технологию качественной передачи речи в сети МТС приходится почти половина звонков ПАО «МТС» подвела итоги внедрения: доля голосового трафика с использованием этой технологии увеличилась к концу 2023 года до 38,4% с 29,2% годом ранее, а общая длительность разговоров в сети LTE выросла на 43,8%.

АвтоВАЗ запускает серийное производство Lada Aura АвтоВАЗ запускает конвейерную сборку Lada Aura (это же удлиненная и улучшенная Vesta), сообщил инсайдерский паблик Avtograd News. До этого Aura выпускали по обходным технологиям. «Первая партия, собранная непосредственно конвейерным способом, составит 8 автомобил...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Илон Маск рассказал о ходе работ над спортивным электрокаром Roadster следующего поколения Окончательный дизайн нового электромобиля компании должен быть представлен к концу текущего года.

Росатом разрабатывает технологию получения электричества из водорода Ученые Росатома начали разработку технологии для создания энергетических установок на базе российских топливных элементов, предназначенных для прямого получения чистой энергии из водорода, пишет ТАСС.

Хитроумное титановое кольцо Tiroler способно заменить привычную рулетку Недавно появившееся на Kickstarter титановое кольцо Tiroler привлекло немалое внимание пользователей, которые инвестировали в его серийный выпуск 143 000 долларов, хотя авторы проекта претендовали всего на 3 343 доллара. И такой интерес не случаен. Новый гаджет выполняет фун...

Российский автомобиль «Амбер» выйдет уже в следующем году Российский завод «Автотор» планирует начать массовую сборку небольшого каркасно-панельного электромобиля в четвертом квартале следующего года, о чем пишет «Автопоток» со ссылкой на заявление представителей завода на Международном автомобильном на...

АвтоВАЗ неудержим. Завод запустит в серию 12 новых моделей Сегодня во время запуска опытного производства Lada e-Largus глава АвтоВАЗа Максим Соколов рассказал о планах по выпуску новинок на ближайшие шесть лет: за это время компания запустит в серийное производство 12 новых моделей! И это должно обеспечить АвтоВАЗу лидерство н...

Официально: автомобили Lada начнут красить в новые цвета до конца 2023 года АвтоВАЗ начнет окрашивать автомобили Lada в новые цвета. Их получат Lada Vesta, Granta и Niva Legend, о чем сообщили «Газете.Ru» в пресс-службе компании. «В декабре 2023 года планируется начать применение «Платина» на Vesta, темно-серого &l...

Крутой концепт-кар Hyundai N Vision 74 станет серийной моделью и получит ещё больше мощности Компания Hyundai подтвердила планы по созданию и продаже серийной версии интересного концепт-кара N Vision 74. Как сообщает ETNews, компания построит ограниченное количество концептов спортивных автомобилей, вдохновленных Hyundai Pony Coupe 1974 года выпуска. Ходят слух...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Samsung представила два QD-OLED-монитора для геймеров Сегодня компания Samsung официально анонсировала сразу два совершенно новых игровых монитора на базе технологии QD-OLED — речь про модель диагональю 31,5 дюйма и модель диагональю 27 дюймов, которые будут выпущены в продажу следующем году. Стоит сразу отметить, что это не сл...

Бывший российский завод Nissan терпит миллиардные убытки Убыток предприятия «Автозавод Санкт-Петербург» (сейчас так называется бывший российский завод Nissan) за 2023 год составил 13,7 млрд рублей, об этом сообщает издание «Деловой Петербург». Для сравнения, в 2022 году убытки предприятия составили 6 м...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Начался массовый выпуск Lada Granta в новом цвете АвтоВАЗ победил европейское оборудование, которое не хотело дружить с китайскими эмалями: завод наладил массовый выпуск Lada Granta, окрашенных в цвет «Борнео» при помощи китайской металлизированной эмали. «Сегодня, 29 ноября, с конвейера ВАЗа сошло 9...

Производство российских ESP запустят 19 декабря, а 18 декабря перезапустят завод «ПСМА Рус», на котором раньше выпускали Mitsubishi Outlander Под конец года состоится сразу несколько важных событий на российском автомобильном рынке: начнется выпуск отечественных систем ESP и возобновится работа калужского предприятия «ПСМА Рус», на котором ранее собирали Peugeot 408, Citroen C4, Peugeot Partner, C...

Российский автомобиль с уникальными названиями цветов, вроде «Кварк» и «Фотон». Серийное производство «Атома» начнётся в 2025 Серийное производство российских «Атом» планируют запустить в 2025 году, о чем сообщил гендиректор КамАЗа Сергей Когогин. «Атом» планируют предлагать в семи цветах кузова, каждый из которых назван именем элементарной химической частицы или базово...

Собянин: В парках Москвы с января работают десять роботов-уборщиков «Пиксель» Мэр Москвы Сергей Собянин рассказал, что в 2023 году в столице начали испытания первых роботов-уборщиков "Пиксель". Сейчас уже десять таких беспилотников участвуют в регулярной уборке прогулочных дорожек в парках, а в планах разработчика уже ко второй половине 2024 года выйт...

Сборку бестселлера Lada Granta запускают на новом заводе На следующей неделе в Азербайджане стартует производство российских автомобилей Lada Granta методом крупноузловой сборки. Открытие нового сборочного предприятия ожидается в последние дни марта в городе Гянджа. Как сообщил инсайдерский паблик «Нетипичный АвтоВАЗ&ra...

Производство Lada Vesta NG с АКПП начнется на рубеже 2023-2024 годов. Lada Granta с АКПП на подходе В пресс-службе АвтоВАЗа заявили, что производство Lada Vesta NG с автоматической коробкой переключения передач начнется на рубеже 2023-2024 годов. Что касается Lada Granta с АКПП, то проект также находится в работе, однако конкретные сроки производитель пока что назвать...

Конец эпохи: под брендом Nokia не будет ни одного нового смартфона HMD Global объявляет о прекращении выпуска новых смартфонов под брендом Nokia, что является частью их стратегии.

Volkswagen Golf ещё никогда не был так похож на Passat. Появились новые фотографии Нынешний Volkswagen Golf восьмого поколения доступен с конца 2019 года, но кузову Mk8 потребовалось еще почти два года, чтобы добраться до США исключительно в вариантах GTI и R. В Сети появились новые фотографии обновленного VW Golf, который уже проходит тестирование. ...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Половина китайцев уже пользуются 5G. Операторы начали подготовку к 6G, развёртывая сети 5G-A Министерство промышленности и информационных технологий отметило, что с января по февраль этого года общий рост отрасли связи в Китае был стабильным, а количество пользователей 5G достигло 851 миллиона человек или около половины всех пользователей мобильной связи. По со...

Chevrolet Corvette, Tahoe и Suburban нового поколения ожидаются в 2024 году. Компания представила новый слоган Chevrolet меняется вместе со временем и анонсирует новый слоган, Together Let's Drive, который сменил старый (Find New Roads). Chevrolet также заявила, что Bolt является самым доступным электромобилем в Америке. Компания также предлагает Colorado за 30 695 долларов...

Стало известно, когда в Lada Vesta появится ESP, датчики света и дождя, а в Lada Granta – климат-контроль и обновленный интерьер Сегодня глава АвтоВАЗа Максим Соколов рассказал об оснащении машин предприятия подушками безопасности, но он также подтвердил сроки возвращения в автомобили ряда опций. Изображение: АвтоВАЗ Так, президент АвтоВАЗа подтвердил, что в марте начнут выпуск Lada Vesta с обно...

На этот раз окончательно и бесповоротно? АвтоВАЗ в очередной раз заявил, что победил масложор двигателя 1,8 Сегодня АвтоВАЗ представил 122-сильный двигатель 1.8 EVO, рассчитанный на работу с китайской вариаторной трансмиссией. У него много особенностей, но одна преподносится особенно – отсутствие масложора. ВАЗ долго, очень долго боролся с этой проблемой мотора ВАЗ...

Российские инженеры анонсировали серийное производство сразу двух новых транспортных дронов В Севастополе научный мир встречает новаторский прорыв: ученые СевГУ объявили о планах по созданию и последующему серийному производству двух уникальных транспортных беспилотных летательных аппаратов (БПЛА).

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

TSMC начнёт выпуск 3-нм продукции в США не ранее 2027 года Это заметно позже, чем планировалось изначально.

Натрий берет руль: первый безлитиевый электромобиль выйдет на дороги в январе Первый серийный электромобиль (EV), работающий на натрий-ионных батареях, вот-вот выйдет на дороги. JAC Motors, китайский автопроизводитель, поддерживаемый Volkswagen, выводит эту технологию на рынок через свой новый бренд Yiwei.

NVIDIA Blackwell B100 GPU выйдет по 3 нм процессу Компания NVIDIA будет применять технологию TSMC 3 нм уже со следующего года. Отмечается, что компания будет производить вычислительный графический процессор GB100 по этой технологии. При этом есть высокая вероятность, что вся серия GPU Blackwell будет использовать эту т...

У следующего Xbox может быть совершенно новый дизайн Большие изменения могут принести интересные новости поклонникам Xbox, особенно если они приведут к выпуску новых современных устройств

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

«Самый востребованный в России легкий коммерческий автомобиль». АвтоВАЗ выпустит 80 машин Lada Largus до мая На заводе «Лада Ижевск» до конца этой недели соберут опытную партию Lada Largus по полному циклу производства. Как сообщает главный редактор журнала «За рулем» Максим Кадаков, первыми будут пять коммерческих фургонов, а всего до мая будет со...

Слух: новое поколение Xbox может выйти в 2026 году Датамайнер Call of Duty, известный под ником The Ghost of Hope, со ссылкой на собственные источники сообщает, что следующее поколение игровых консолей Xbox может выйти уже в 2026 году. По его словам, стартовая линейка игр будет включать в себя очередную часть Call of Duty. ...

В России освоили новую технологию производства прочных стекол для поездов и истребителей В России освоили уникальную малозатратную технологию изготовления стекол для транспорта, в том числе для поездов и истребителей. Об этом рассказал начальник сектора научно-исследовательской лаборатории предприятия Дмитрий Чечин, который добавил, что технологией создания...

Запуск новых Volga обойдётся ГАЗу в 60 млрд рублей Как стало известно, ГАЗ инвестирует десятки миллиардов рублей в проект перезапуска бренда Volga, о чем сообщает инсайдерский Telegram-канал «Автопоток». В проект новых Волг ГАЗ вложит 60 млрд рублей. В этой сумме учтены затраты на НИОКР, организацию производ...

ИИ для диагностики инсульта начнут использовать в больницах России Разработанная российской компанией NtechLab технология на основе искусственного интеллекта для диагностики ишемического и геморрагического инсульта получила регистрационное удостоверение. Это позволит начать использовать ее в российских больницах

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

МТС планирует выпускать до 20 тысяч базовых станций в год Компания «Иртея» (дочерняя структура оператора МТС) планирует с 2025 года выпускать по 10-20 тысяч базовых станций ежегодно. Об этом рассказал президент МТС Вячеслав Николаев на форуме «Телеком 2024». По его словам, в 2024 году МТС планирует собр...

Черно-белая жизнь Lada Vesta подходит к концу. Машину начнут красить в семь цветов Если сейчас Lada Vesta красят в основном в белый и, реже, в чёрный, то к концу года разнообразия будет куда больше — гамма расширится до 7 цветов! Об этом сообщил директор по продуктам и программам АвтоВАЗа Олег Груненков. Изображение: Lada «Мы работае...

Ростелеком покажет собственные базовые станции на конференции ЦИПР в мае Оператор Ростелеком представит на конференции ЦИПР-2024, которая пройдёт в конце мая в Нижнем Новгороде, первые полностью отечественные рабочие прототипы базовых станций мобильной связи. Президент компании Михаил Осеевский рассказал, что Ростелеком открыл отдельную комп...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

На FINOPOLIS-2023 «Ростелеком» и Альфа-Банк выпустили цифровые финансовые активы для широкого круга инвесторов В рамках форума финансовых инновационных технологий FINOPOLIS состоится выпуск цифровых финансовых активов для широкого круга корпоративных и частных инвесторов в режиме онлайн. 8 ноября 2023 года Альфа-Банк организует сбор заявок для выпуска ЦФА «Ростелекома» объемом 1 млрд...

ChatGPT начнут внедрять на «Госуслугах» уже в этом году На «Госуслугах» может появиться чат-бот с искусственным интеллектом ChatGPT, рассказал министр цифрового развития РФ Максут Шадаев в рамках открывшегося в Алма-Ате цифрового форума Digital Almaty 2024. По словам Шадаева, ChatGPT будет использоваться для конс...

В Ирландии начнёт работу 7-нм процессорный завод Intel Корпорация Intel намерена начать массовое производство полупроводниковых изделий по технологии Intel 4 в Лейкслипе (Ирландия). Ранее произошло переименование 7-нм технологии в Intel 4, что было направлено на приведение ее в соответствие с отраслевыми стандартами и, по-видимо...

В следующем году ASML поставит не более 10 сканеров, пригодных для выпуска 2-нм чипов Из них шесть достанутся Intel.

Стандартная версия смартфона Google Pixel 8 получит Gemini Nano уже в этом году Google официально подтвердила, что Pixel 8 получит Gemini Nano со следующим выпуском Pixel Feature Drop.

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Windows 12 может быть ОС по подписке Хотя в сообществе энтузиастов Windows это было догадкой, новая утечка, похоже, еще больше подтверждает, что операционная система Microsoft следующего поколения может быть основана на подписке. Ресурс Deskmodder заметил несколько таких записей, связанных с подпиской, в файле ...

КамАЗ будет развивать водородные технологии ПАО АФК «Система» и КамАЗ заключили соглашение о сотрудничестве в области развития водородных технологий. Стороны объединят свои усилия для создания серийных продуктов, использующих водород, таких как грузовые автомобили, складская техника, водные автобусы-э...

Новый этап: сверку кузовов «Москвича 3» и «Москвича 3е» показали на видео На заводе «Москвич» отрабатывают сварку кузовов автомобилей, которая пока что выполняется из штамповки, доставляемой из Китая. Точная дата запуска серийной сварки кузовов пока неизвестна. Следующим этапом станет постепенная замена китайских кузовных панелей ...

Проблемы АвтоВАЗа настигли Toyota: компании не хватает комплектующих для выпуска Corolla, Land Cruiser и RAV4 Агентство Kyodo рассказало о планах Toyota по перезапуску остановленных заводов. Как сообщается, одно предприятие, в префектуре Айти, получится перезапустить 19-20 октября, но при этом придется приостановить два завода в префектурах Иватэ и Мияги. Изображение: Toy...

Bloomberg: На восстановлении Украины может быть освоен 1 триллион долларов от зарубежных инвесторов Для украинских чиновников-коррупционеров это настоящий финансовый пир

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Шеф-дизайнер Porsche не исключает возможности выпуска минивэна этой марки В конце концов, кроссоверы марки хорошо продаются.

Sony готовит к выпуску PlayStation 5 Pro Обновлённая версия консоли может поступить в продажу уже к концу этого года.

Сборка машин на бывшем российском заводе Volkswagen начнется до июля 2024 года Губернатор Калужской области Владислав Шапша в интервью телеканалу «Россия 24» обозначил срок перезапуска бывшего российского завода Volkswagen. Как сообщается, переговоры компании «Арт-Финанс», нынешнего владельца предприятия, и потенциального п...

Samsung SDI ещё раз подтвердила намерения начать в 2027 году выпуск твердотельных аккумуляторов На собрании акционеров компании.

SK hynix утверждает, что первой начала массовый выпуск памяти типа HBM3E И уже в этом месяце начнёт отгружать её клиенту.

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

SK hynix уже распределила квоты на HBM до конца 2025 года И начнёт поставлять 12-ярусную HBM3E в этом месяце.

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Samsung добавит в Galaxy S24 функции искусственного интеллекта Компания Samsung готовится к выпуску новой линейки флагманских смартфонов Galaxy S24. Ожидается, что устройства будут оснащены новейшими технологиями искусственного интеллекта (ИИ), которые значительно улучшат их возможности.

iPhone 17 может получить новое антибликовое стекло повышенной прочности Согласно источнику, оборудование для нанесения нового покрытия уже настроено, но технология не будет готова к моменту выпуска iPhone 16, что делает ее эксклюзивной для iPhone 17.

Microsoft запланировала новое «железо Xbox» на конец года и, возможно, портативку в стиле Steam Deck В официальном подкасте Xbox президент Xbox Сара Бонд намекнула, что Microsoft совершит «крупнейший технический прорыв» с Xbox следующего поколения. Когда появились слухи о мультиплатформенности Xbox, многие были обеспокоены тем, что это будет означать, что Microsoft выйдет…

На конференции IEEE Samsung расскажет о видеопамяти следующего поколения GDDR7 International Solid-State Circuit Conference 2024 пройдет в конце февраля.

Роскомнадзор будет использовать ИИ для формирования реестра запрещенной информации По данным СМИ, в этом году Роскомнадзор планирует начать применять ИИ для ведения реестра запрещенной информации. Ожидается, что технология будет работать на базе системы, через которую уже анализируются и классифицируются тексты в интернете.

Apple откроет доступ к NFC в iPhone сторонним сервисам в ЕС Похоже, что Apple близка к урегулированию отношений с Европейским союзом (ЕС) по поводу своей технологии мобильных платежей. Источники, знакомые с вопросом, говорят, что антимонопольные регуляторы ЕС готовы одобрить предложение Apple открыть эту технологию для конкурентов уж...

Лавочка закрывается. В «Ростелекоме» предупредили о запрете параллельного импорта вычислительной техники Параллельный импорт вычислительной техники может быть запрещен со следующего года, о чем заявил старший вице-президент по IT «Ростелекома» Кирилл Меньшов. Информацию опубликовало издание «Коммерсантъ». Российские технологии становятся все более д...

Kantan Games: PS5 Pro может выйти в 2024 году, перед релизом GTA VI Том Хендерсон также ранее упоминал планы Sony по выпуску PS5 Pro в конце 2024 года

ИИ-функции Google Фото станут бесплатными Сегодня компания Google официально объявила, что инструменты на основе искусственного интеллекта в приложении Google Фото будут доступны для всех пользователей без необходимости оформления платной подписки. Речь идет об инструментах Magic Eraser, Photo Unblur и Portrait Ligh...

Мощи Snapdragon 8 Gen 4 достаточно, чтобы конкурировать даже с Apple M2. В Сети появились первые тесты Пока на рынке только начинают появляться первые смартфоны с SoC Snapdragon 8 Gen 3, в Сети уже появились результаты тестирования Snapdragon 8 Gen 4.  Само собой, речь не может идти о серийных платформах, так что к результатам стоит относиться соответствующе. Но он...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Samsung начнёт массовый выпуск продукции на новом предприятии в Техасе не ранее 2025 года Новогодняя переносица.

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

На калужском заводе «ПСМА Рус» появились новые иномарки Peugeot и Citroen. Их выпуск могут наладить на предприятии Мы уже сообщали об активных работах по перезапуску производства на заводе «ПСМА Рус» в Калужской области, а сейчас Autonews заметил на предприятии новые иномарки. Вероятно, их-то и будет выпускать предприятие. Peugeot 4008. Изображение: Peugeot Одним из так...

Технологии мобильной связи развиваются. В Китае запустили 5.5G — что это за стандарт? Во всём мире активно развёртывают инфраструктуру мобильной связи 5G. В то же время различные компании ведут разработку 6G-технологий, реализуются и промежуточные проекты. Речь идёт прежде всего о сетях 5.5G, или 5G-Advanced. В Китае уже начали практическое освоение этого тип...

Трёхосная «Буханка» с приводом 6х6 и салоном на 16 человек. Почему УАЗ отказался от такого автомобиля Ульяновский автозавод время от времени рассказывает о редких автомобилях, которые по тем или иным причинам не дожили до наших дней. В этот раз чести удостоилась трёхосная «Буханка». Экспериментальный УАЗ-452К был выпущен 50 лет назад, в 1973 году. Внедорожни...

НАСА тестирует технологию следующего поколения марсианских вертолетов В то время, как марсианский вертолет Ingenuity продолжает добиваться впечатляющих успехов, НАСА уже тестирует технологии для аппаратов следующего поколения

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

TSMC получила срочные заказы на выпуск чипов NVIDIA для китайского рынка Выполнять их придётся уже в следующем квартале.

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

В Тихом океане намерены построить «Русский мир» К концу следующего десятилетия в Тихом океане появится искусственный остров площадью 300 кв. км, на котором суммарно будет проживать до 1 млн человек. Эта идея принадлежит российским бизнесменам Киру Беляеву и Павлу Громову.

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Suzuki в ударе. Компания анонсировала новый Swift и массу других новинок, а также летающий автомобиль Suzuki объявила состав участников Japan Mobility Show 2023. Наиболее важными являются новое поколение городского автомобиля с двигателем внутреннего сгорания Swift, выход которого ожидается в 2024 году, а также полностью электрический кроссовер eVX, который появится в 2...

Ледокол «Россия» должны построить к 2030 году Первый вице-премьер России Денис Мантуров на совещании с премьер-министром Михаилом Мишустиным рассказал, что сверхмощный ледокол «Россия» планируют построить к 2030 году. По словам вице-премьера, новый ледокол будет единственным в своём роде: его мощность с...

КамАЗ нарастит выпуск деталей для моторов V8 и P6, а также раздаточных коробок Завод двигателей КамАЗа пополнился шестью новыми вертикально-фрезерных обрабатывающих центров. Они оснащены ЧПУ и позволят нарастить производство деталей для моторов восьмицилиндровых V-образных моторов и рядных шестицилиндровых. Изображение: КамАЗ Пока запущены два ст...

Руководство Китая поставило промышленности задачу наладить массовое производство андроидов к 2025 году Как сообщает издание South China Morning Post, Правительство КНР поставило задачу наладить в предельно короткие сроки — а именно, к 2025 году — серийное производство человекоподобных роботов, а к 2027 году занять лидирующее положение в мире в этой области. Подтверждением том...

Представлен смартфон Nokia XR21 5G Limited Edition Компания HMD Global решила отметить начало производства смартфонов в Европе выпуском модели Nokia XR21 5G Limited Edition. Новинка выйдет тиражом всего в 300 штук, из которых не все экземпляры будут доступны для покупки. Цена смартфона составляет 700 евро. Отличительной черт...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Названа цена Lada Granta с двумя подушками безопасности Официальную цену автомобилей Lada Granta с двумя подушками безопасности, которые уже появились у дилеров, опубликовал инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Lada Granta в цвете "Борнео" с двумя подушками безопасности и 16 клапанны...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Why Flutter? Почему Flutter? Почему Flutter должен быть выбран в качестве фреймворка для вашего следующего проекта?Разбираем популярные высказывания о несостоятельности Flutter как технологии и доказываем обратное. Выбрать Flutter

Представлен Yamaha Drive H2 — первый в мире гольф-кар с двигателем внутреннего сгорания на водороде Представлен Yamaha Drive H2, основанный на существующей гольф-каре Drive2 Concierge 4, но с новой трансмиссией. Его рекламируют как первый в мире гольф-кар с двигателем внутреннего сгорания, работающим на водороде. Yamaha Drive H2 оснащен парой водородных баков высоког...

Не прошло и месяца с выхода Android 14, а у Samsung уже готова финальная One UI 6.0 для Galaxy S23, Galaxy S23 Plus и Galaxy S23 Ultra Примерно с конца августа Samsung как заведенная выпускала публичные бета-версии интерфейса One UI 6.0 – на прошлой неделе вышла уже восьмая. Но она точно станет последней, так как компания уже финализировала прошивку. Об этом сообщил модератор фирменного сообщест...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

На рынке чипов Samsung в прошлом году потеряла рекордные $11,2 млрд Но уже в этом квартале рассчитывает начать выпуск памяти без убытков.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Xiaomi 12, 12S и 12S Pro начали получать HyperOS В конце октября Xiaomi представила HyperOS, которую планирует предоставить для всех своих устройств.

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

3 хакатона = 6 лет стажа: как я сделал красивое резюме и получил первую работу в IT Привет, хабравчане! Меня зовут Максим. Я из Новосибирска, мне 36 лет. Два года назад я начал воплощать в жизнь юношескую мечту: занялся программированием. Надо всех обойти, а я из продаж, IT не изучал. Базово освоив Python, я стал мониторить рынок труда и через два отклика н...

Новейший Hyundai Tucson L получит две версии. Их покажут на Пекинском автосалоне Hyundai Tucson L будет представлен на Пекинском автосалоне, который пройдет в конце месяца. Изображения и характеристики Hyundai Tucson L появились в базе данных Министерства промышленности и информационных технологий КНР, которая подтверждает подготовку к выпуску бенз...

АвтоВАЗ возобновляет серийное производство Lada Largus с ДВС с 15 мая. e-Largus начнут выпускать в третьем квартале АвтоВАЗ сообщил, что с 15 мая в Ижевске будет возобновлено серийное производство универсалов Lada Largus с бензиновыми моторами. Как пишет главный редактор журнала «За рулем» Максим Кадаков, в третьем квартале этого года на конвейер поставят электрический у...

Samsung и Google готовят к выпуску Wear OS 5 на основе Android 14 Возможно, следующее поколение Wear OS выйдет уже в этом году. Samsung и Google активно ведут работы над обновлением Wear OS 5 на основе Android 14.

Vivo отложила выпуск Vivo X100 Pro+ Старшая модель серии получит чипсет Snapdragon 8 Gen 3 лишь к середине следующего года

В Microsoft объявили WordPad устаревшим и готовятся исключить его из следующего выпуска Windows 11 Его функционал оставался неизменным на протяжении 30 лет

Выпуск 3-нм процессоров AMD с архитектурой Zen 5 начнётся в следующем полугодии Apple и Intel получат свои 3-нм изделия от TSMC уже во втором квартале.

Мощности SK hynix по выпуску памяти HBM3 заняты на весь следующий год Этот вид продукции станет самым активно растущим для компании.

Названы сроки выпуска и некоторые характеристики Meizu 21 Pro Meizu, по сообщениям, работает над своим следующим флагманским телефоном под названием Meizu 21 Pro.

Samsung в следующем году собирается увеличить объёмы выпуска HBM3 в два с половиной раза И потратит на это рекордную сумму капитальных вложений.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)