Социальные сети Рунета
Воскресенье, 12 мая 2024

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

AMD отстанет от Nvidia на порядок, а Intel — на два порядка. Появился прогноз на 2024 год касательно рынка ускорителей для ИИ Компания Nvidia безусловно является лидером на рынке ускорителей для ИИ. Но каких-то точных данных о её доле в Сети пока найти сложно. Теперь вот появились прогнозы, касающиеся итогов текущего года, которые позволяют понять распределение сил на рынке.  Итак, Nvidi...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

AMD уже захватила рынок консолей, а теперь распространяется и на рынке смартфонов. SoC Samsung Exynos 1480 получит GPU Xclipse 530 Компания Samsung действительно использует графические процессоры на основе архитектуры AMD в своих SoC Exynos нетопового уровня. В Сети засветилась платформа Exynos 1480, и у неё имеется GPU Xclipse 530.   Характеристики GPU неизвестны, но зато известно, что в осн...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Ноутбуки MacBook получат модемы 5G собственной разработки Apple, но нужно подождать пять лет Первые iPhone с сотовыми модемами собственной разработки Apple появятся на рынке не ранее 2026 года. Но оказалось, что компания готовит и MacBook, которые также будут оснащены модемами 5G.  Ноутбуки Apple также получат её собственные модемы, но ждать таких ПК прид...

Intel уверена в своём доминировании на процессорном рынке, несмотря на активное наступление AMD и Arm Компания Intel, несмотря на активную потерю доли процессорного рынка во всех основных сегментах, уверена в своём доминировании в обозримом будущем.  Выступая на Intel Innovation Taipei 2023 Technology Forum, глава компании Пэт Гелсингер заявил, что Intel сохранит ...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Sapphire выпускает не только видеокарты. Компания представила системную плату B650I Nitro+ Mini-ITX. Компания Sapphire известна в первую очередь своими видеокартами, а многим только ими. Это самый крупный партнёр AMD на этом рынке. Но на самом деле Sapphire производит и другую продукцию, и её новая новинка — системная плата B650I Nitro+ Mini-ITX.  Она предн...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Apple не смогла сама и пришла к Google и OpenAI. Компания ведёт переговоры об интеграции Gemini и/или GPT в свои iPhone Похоже, у Apple имеются проблемы с разработкой собственного генеративного ИИ для конкуренции с ChatGPT и другими моделями. Сообщается, что купертинский гигант ведёт переговоры с Google и OpenAI касательно использования их моделей.  создано DALL-E Apple общается с ...

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

КамАЗ запускает в производство новые модели На КамАЗе успешно собраны первые образцы новых моделей грузовиков, о чем сообщает журнал «За рулем». В январе этого года КамАЗ впервые уступил лидерство в продажах грузовиков на российском рынке китайским грузовикам Sitrak. На заводе КамАЗ понимают, что ключ...

[Перевод] Почему я не буду использовать Next.js Представьте, что вы планируете начать новый проект или использовать в существующем более современный подход. А, может, вас просто не устраивает используемый фреймворк, и вы подумываете об альтернативах. В любом случае вам нужно что-то выбрать. Существует множество «совреме...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Intel прощается с брендом Core i. Текущие процессоры Core 14-го поколения будут последними с такими именами Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel представила свои новые процессоры Core 14-го поколения, и теперь компания подтвер...

Exynos 2400 в играх будет быстрее Snapdragon 8 Gen 3? Топ-менеджер Samsung говорит, что GPU Xclipse 940 производительнее конкурентов Как известно, на некоторых рынках младшие модели линейки Samsung Galaxy S24 будут продаваться с SoC Exynos 2400. И Samsung утверждает, что графический процессор этой платформы мощнее, чем у решений конкурентов.  Если точнее, это заявил президент подразделения Sams...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Intel может уйти с рынка дискретных видеокарт, в который только успела зайти? Надёжный источник говорит, что от поколения карт Battlemage не осталось и следа Похоже, у Intel снова проблемы с тем, чтобы выпустить новые дискретные видеокарты вовремя. Поколение адаптеров Battlemage может не выйти в этом году. Или вообще.  Инсайдер Golden Pig Upgrade Pack утверждает, что от Battlemage не осталось и следа, а линейка DG3 в с...

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Примерно в 70 раз слабее RTX 4090. Китайская компания Loongson готовит свою видеокарту, которая будет на уровне Radeon RX 550 Китайская компания Loongson уже неплохо зарекомендовала себя на рынке процессоров, выпустив CPU Loongson 3A6000, который способен тягаться с Ryzen 3 3100 и Core i3-10100F, работая при этом на существенно меньших частотах. Теперь же, как сообщается, компания намерена вып...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Очередная революция от AMD, но очень условная. Опубликованы обзоры процессоров Ryzen 8000G Сегодня был снят запрет на публикацию обзоров настольных процессоров AMD Ryzen 8000G, так что мы можем ознакомиться с тем, на что способны эти новинки.  Напомним, технически это фактически те же мобильные Ryzen 7040U/H/HS, но в настольном варианте. У них те же ядр...

В России выпустят отечественные платежные терминалы В России появится первый отечественный платежный терминал, причем это должно произойти уже в 2024 году. Об этом сообщает издание «Ведомости», ссылаясь на Александра Соколова, директора по продуктам компании «Элемент-технологии», которая занимаетс...

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Apple смогла разработать свои CPU и GPU, но не можем создать модем. Сообщается, что компания прекращает работу в этом направлении Компания Apple, возможно, прекратит попытки создать собственный модем 5G.   Напомним, компания работает над собственным модемом уже несколько лет, но постоянно сдвигает планы относительно выпуска такого продукта. В частности, соответствующий бизнес Intel был купле...

Источник: VK, Сбер и Яндекс создадут каждый свою мобильную ОС Издание «Коммерсантъ» со ссылкой на источник на IT-рынке сообщило, что VK, Сберу и Яндексу не удалось договориться о совместной разработке российской мобильной операционной системы на базе Android. О создании консорциума по созданию такой ОС по инициативе Ми...

Subaru избавится от своего легендарного наследия: Subaru Legacy скоро снимут с производства Американское подразделение Subaru сообщило о том, что модель Legacy («наследие» с английского) доживает свои последние месяцы: машину снимут с производства в следующем году. Интересно, что уже заявлена цена Legacy 2025: она будет соответствовать цене машины ...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

100 млрд долларов, и всё на искусственный интеллект. SoftBank анонсировала проект Izanagi, в рамках которого будет конкурировать с Nvidia Рынок искусственного интеллекта развивается взрывными темпами и, возможно, это лишь начало. Японский гигант SoftBank сообщил о том, что собирается запустить проект Izanagi с финансированием в 100 млрд долларов, чтобы изменить рынок ИИ.  создано DALL-E Многие детал...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Nvidia теперь уступает только Apple. Компания стала вторым по величине клиентом TSMC Компания Nvidia так разрослась благодаря буму на искусственный интеллект, что в итоге стала вторым по величине клиентом TSMC.  Согласно свежим данным, в 2023 году на долю Nvidia пришлось 11% всей выручки TSMC — крупнейшего в мире производителя полупроводнико...

Intel третий год подряд будет продавать одни и те же процессоры? Мобильные Raptor Lake-H переименуют в Core 2xxH, а мобильные Arrow Lake будут называться Core Ultra 2xx Процессоры Intel Arrow Lake для мобильного сегмента будут называться Core Ultra 2xx. Об этом сообщил известный инсайдер Golden Pig Update.  Такие предположения уже высказывались ранее, так как это вполне логично, ведь текущие Meteor Lake относятся к линейке Core U...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

Скоро на некоторых чипах Apple сможет красоваться надпись Made in USA. В США чипы Apple будет производить TSMC и упаковывать Amkor США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia В ближайшее время некоторые платформы Apple будут полностью производиться в США.  Компания сообщила, что станет первым и крупнейшим заказчиком нового завода Amk...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Китайский процессор Loongson 3A6000 в первых тестах не уступает Ryzen 5 3100 и Core i3-10100F, работая на намного меньшей частоте Летом этого года китайская компания Loongson сделала свои новые процессоры 3A6000 доступными для клиентов. Недавно ПК с этими CPU уже физически попали на рынок. Сегодня же в Сети появились тесты, и результаты довольно любопытны.  Тесты проходил четырёхъядерный вар...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Nvidia не нравится, когда кто-то выпускает видеокарты и GeForce, и Radeon? ASRock заявила, что для неё сейчас сложно начать производство карт Nvidia Компания ASRock уже какое-то время производит видеокарты AMD и с недавних пор адаптеры Intel. А вот карты Nvidia она не выпускает и теперь стало известно, почему.  В интервью представитель ASRock ответил на вопрос, какие у компании планы и собирается ли она начать...

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Даже если взять оптимизированное под процессоры Intel ПО для искусственного интеллекта, новый Core Ultra 7 155H в половине случаев проигрывает Ryzen 7 7840U Сегодняшние тесты процессора Intel Core Ultra 7 155H под Linux показали, что там новинка Intel существенно уступает 15-ваттному Ryzen 7 7840U при большем энергопотреблении. Авторы Tom's Hardware решили отдельно протестировать Meteor Lake в задачах, связанных с ИИ, п...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Snapdragon 8 Gen 4 вернёт Qualcomm лидерство? Компания Arm якобы испытывает проблемы с суперъядром Cortex-X5 Согласно свежим данным, у Arm имеются проблемы с разрабатываемым ей суперъядром Cortex-X5.   Проблемы касаются энергоэффективности. Инсайдер Revegnus говорит, что при работе на высокой частоте ядро потребляет слишком много энергии, а при ограничении лимита мощност...

Nothing готовит сразу двое новых наушников, включая дешевую версию Компания Nothing выпустила свои первые наушники Ear 1 в 2021 году. За ним последовали Ear Stick годом позже, а последними наушниками под брендом Nothing стали Nothing Ear 2 в начале 2023 года. Недавно компания сообщила о выпуске преемника Ear 2 и даже назвала дату запуска &...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

У Apple пока не получается избавиться от зависимости от Qualcomm. Теперь компания планирует выпустить собственный модем 5G только в 2026 году Компании Apple снова придётся переносить свои планы относительно замены модемов Qualcomm в iPhone на собственные.  Как сообщается, купертинский гигант всё ещё сталкивается с техническими трудностями при разработке собственного модема 5G. Компания уже переносила ср...

Почему Galaxy S24 Ultra мощнее любого другого Android-смартфона на Snapdragon 8 Gen 3 Компания Samsung открыла 2024 год выпуском новых смартфонов Galaxy S24. На фоне других Android-флагманов, представленными на рынке, главной фишкой корейских устройств стал всеобъемлющий искусственный интеллект. Но есть и еще одна уникальная особенность. Старшая модель Galax...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Наконец-то и американцы смогут купить премиальный смартфон на SoC MediaTek. Первый такой аппарат выйдет в США в этом году Компания MediaTek уже не первый год лидирует по количеству выпускаемых SoC для смартфонов, а её топовые платформы Dimensity способны тягаться и даже побеждать топовые SoC Snapdragon. Возможно, это может показаться странным, но, несмотря на всё это, в США не продаётся ни...

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Бывший российский завод Bridgestone будет выпускать премиальные шины Бывший завод Bridgestone в Ульяновске перезапустит производство шин до конца 2024 года, о чем пишет «Автопоток» со ссылкой на Вадима Володина. Гендиректор компании «Кордиант» Вадим Володин заявил журналистам, что в 2025-м бывший завод Bridgestone...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Это видеокарта китайской разработки уровня GTX 1050 и с поддержкой AMD FSR. Анонсирована 30-ваттная Gitstar JH920 Китайская компания Gitstar анонсировала свою собственную видеокарту JH920, которая, по обещаниям производителя, должна быть быстрее, чем GeForce GTX 1050.  Да, это не бог весть какой уровень, но всё-таки речь о полностью китайской разработке. К тому же TDP тут сос...

Ни у кого сейчас нет ПК, соответствующего этим требованиям. Для локального запуска Copilot компьютеры класса AI PC будут должны иметь NPU мощностью 40 TOPS Мало того, что компании уже сравнивают свои процессоры по производительности блоков NPU, так вскоре этот показатель может стать ещё и ограничивающим фактором в Windows. Intel на мероприятии Intel AI Summit рассказала, что у ИИ Windows Copilot будет требование к производ...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Apple не стала заморачиваться и создаёт для себя облачные серверы ИИ на основе обычной SoC M2 Ultra. Позже начнут использовать M4 Компания Apple, возможно, не будет заморачиваться с разработкой собственного специализированного процессора для облачных серверов. Или по крайней мере такой CPU выйдет нескоро, так как Apple будет использовать обычные потребительские SoC.  фото: Apple Как сообщает...

AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Компания AMD уже довольно давно выпускает процессоры, а с недавних пор и GPU, с чиплетной компоновкой. Но чиплетными являются либо настольные CPU, либо мобильные высокопроизводительные, которые технически представляют собой те же настольные. AMD говорит, что доступные ч...

GeForce GTX Titan спустя 11 лет зачастую не способна опередить даже Radeon RX 6400 с 96-битной шиной Авторы PC Games Hardware решили протестировать видеокарту GeForce GTX Titan — первое поколение линейки Titan, которое вышло 11 лет назад.   фото: iXBT На момент выхода это было весьма впечатляющее решение с ценой 1000 долларов. Карта оснащалась GPU GK110 с 2...

RTX 50 не за все деньги мира уже в этом году? GeForce RTX 5080 может выйти даже раньше, чем RTX 5090 Возможно, у геймеров в этом году всё же будет возможность купить видеокарту Nvidia нового поколения не за максимальную цену. Как сообщает известный инсайдер kopite7kimi, GeForce RTX 5080 выйдет раньше, чем RTX 5090.  Когда именно и на сколько раньше, неясно, но, е...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Tesla может начать продажи роботов Optimus в 2025 году, а уже в этом году они начнут трудиться на заводах После публикации финансовых результатов Tesla за первый квартал 2024 года Маск сообщил обновленную информацию о сроках внедрения гуманоидного робота Optimus. Генеральный директор заявил, что Optimus уже выполняет заводские задачи в своей лаборатории. Он считает, что к к...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Сначала AMD и Qualcomm, затем Intel, а Apple будет последней. Появилась дорожная карта, описывающая график выхода новых процессоров всех компаний Согласно данным аналитиков Canalys, однокристальная система Apple M4 может увидеть свет в начале 2025 года.  Дорожная карта, построенная аналитиками, говорит о запуске продуктов на SoC M4 в первом или втором квартале 2025 года.  Напомним, SoC M3 присутствует ...

Ростех разработал 25-мегаваттный промышленный двигатель для топливно-энергетического комплекса По сообщению госкорпорации «Ростех», «Объединённая двигателестроительная корпорация» в декабре текущего года завершит испытания первого опытного образца нового индустриального двигателя АЛ-41СТ. Эта разработка мощностью 25 МВт предназначена для и...

Процессорная часть не впечатлит количеством ядер, а вот iGPU очень силён. Появились новые тесты процессора Intel Lunar Lake Процессоры Intel Lunar Lake, которые выйдут в конце текущего года, не смогут похвастаться производительностью CPU, а вот графическое ядро явно будет сильно. Это подтверждают новые тесты.  В базе SiSoft Sandra засветился ноутбук HP Spectre x360 на основе Core Ultra...

Nvidia напоминает, что у многих из нас уже давно имеются Premium AI PC. Компания говорит, что GPU намного производительнее NPU в вычислениях ИИ Рынок ПК сейчас активно разворачивается в сторону искусственного интеллекта. Производители активно используют название AI PC, подразумевая под ним компьютеры, которые основаны на процессорах с выделенным блоком NPU. Однако Nvidia решила напомнить, что ИИ изначально опир...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Call of Duty и Diablo теперь принадлежат Microsoft. Компания завершила сделку по покупке Activision Blizzard за 68,7 млрд долларов Сделка Activision Blizzard и Microsoft наконец-то закрыта. Глава Microsoft Gaming и подразделения Xbox Фил Спенсер объявил, что Activision теперь является частью Xbox Game Studios.   О сделке было объявлено ещё в январе 2022 года, однако в изначальные сроки её не ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Лавочка закрылась. Nvidia перестала принимать заказы на чипы от китайских компаний Американская технологическая компания Nvidia прекратила принимать заказы от китайских компаний на свои передовые чипы на базе технологий искусственного интеллекта. Как пишет ТАСС со ссылкой The Wall Street Journal, это решение связано с недавними экспортными ограничения...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Бывший российский завод Michelin будет выпускать шины двух новых марок На территории бывшего Michelin в посёлке Давыдово, Московская область, готовится к старту производство шин под марками Selna и Farlight, как сообщает телеграм-канал «Автопоток». В марте 2022 года французский гигант шинного производства объявил о приостановке...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Xiaomi опередила Apple, Samsung и всех остальных конкурентов по темпам роста и впервые попала в топ лидеров рынка планшетов В третьем квартале 2023 года планшеты Xiaomi впервые попали в пятерку лидеров с годовым темпом роста 119,7%, о чем говорится в исследовании Canalys. Xiaomi стала лидером по темпам роста. Согласно отчету, производители смартфонов также активизировались на рынке планшетов...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Мы уже видели тесты новых процессоров Intel Meteor Lake и примерно представляем себе, что вышло у компании, хотя первые тесты были весьма поверхностными. Но теперь в Сети появился обзор, где авторы уделили намного больше внимания iGPU.  Процессоры были протестиров...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Sony хочет побить рекорд продаж PS4 Sony: «Мы хотим, чтобы PS5 превысила 14,8 млн продаж PS4 за второй год , но нехватка запчастей может порушить все планы Sony. Sony планирует продать более 14,8 миллиона единиц PlayStation 5 в течение второго финансового года продаж, с апреля 2021 по март 2022 года. Эт...

Только отказались от бренда Nokia и тут же попали в скандал. Смартфоны HMD Pulse вживую выглядят не так, как на официальных рендерах компании На днях компания HMD Global представила смартфоны линейки Pulse. Они уже успели поступить в продажу, и вокруг них сразу же образовался скандал. Оказалось, что официальные рендеры не соответствуют реальному дизайну.  оригинальный рендер (слева) и исправленный (спра...

AMD собирается «забросать» рынок своими ускорителями Instinct MI300X и занять 7% рынка Nvidia является неоспоримым лидером на рынке ускорителей для ИИ. Возможно, её позиции усилятся после выхода моделей на основе чудовищного GPU Blackwell с более чем 200 млрд транзисторов. Однако AMD тоже не спит и готовится фактически лишь одним своим продуктом занять до...

«Москвич» планирует начать выпуск китайских машин нового бренда. Переговоры ведутся с 2023 года Завод «Москвич» ищет второго восточного партнера, с которым он сможет работать параллельно с JAC, о чем пишет РБК со ссылкой на собеседника в КамАЗа и еще один источник, знакомый с планами компании. «Вопрос находится на стадии переговоров. Они ведутся ...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

Странные Ryzen GT, Ryzen 8000G, которые должны были бы быть Ryzen 7000G, и Ryzen 7 5700X3D. Появились данные о множестве разных новых CPU AMD В Сеть попали подробности о настольных процессорах Ryzen 8000G. Что интересно, попали они туда от иранского ресурса Sakhtafzarmag.  Как можно видеть, в списке источника шесть моделей и ещё шесть версий Pro, которые технически от обычных не отличаются.  Напомн...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Apple не смогла создать собственный 5G-модем Некоторое время назад западные инсайдеры сообщали, что компания Apple работает над собственными чипами сотовой связи 5G, чтобы уменьшить зависимость от компании Qualcomm. Однако новая информация гласит о том, что Apple, вероятно, очень далека от достижения своих целей, поско...

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Санкции санкциями, а новый завод Huawei начнут строить во Франции уже в следующем году Несмотря на то, что Huawei находится под достаточно жёсткими санкциями со стороны США, к которым в большинстве случаев в том или ином виде присоединились страны Евросоюза, это не означает, что китайский гигант заперт лишь в рамках родной страны. Как сообщается, уже в сл...

Microsoft верит, что ноутбуки с SoC Snapdragon X Elite превзойдут MacBook Air на SoC M3 Уже в ближайшие месяцы на рынок выйдут первые ноутбуки с Windows и SoC Snapdragon X Elite/Plus. Microsoft считает, что такие ПК превзойдут ноутбуки Apple на основе SoC M3.   В данном случае имеется в виду преимущество по классической производительности, а также по...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Худшие процессоры MediaTek, способные испортить любой смартфон Компания MediaTek уже давно перестала восприниматься как производитель плохих процессоров для смартфонов. Большинство ее чипсетов, выпущенных за последние 5 лет, почти не греется и демонстрирует отличные показатели мощности, зачастую превосходящие решения Qualcomm в своем к...

Nvidia решила начать создавать полузаказные индивидуальные чипы под специфические нужды конкретного клиента Компания Nvidia создаёт новое бизнес-подразделение. Оно займётся разработкой полузаказных решений для разных направлений.  В частности, Nvidia будет создавать специализированные чипы для компаний, занимающихся облачными вычислениями и искусственным интеллектом, но...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Мощи Snapdragon 8 Gen 4 достаточно, чтобы конкурировать даже с Apple M2. В Сети появились первые тесты Пока на рынке только начинают появляться первые смартфоны с SoC Snapdragon 8 Gen 3, в Сети уже появились результаты тестирования Snapdragon 8 Gen 4.  Само собой, речь не может идти о серийных платформах, так что к результатам стоит относиться соответствующе. Но он...

Huawei откажется от рынка смартфонов в пользу ИИ Huawei решила сделать шаг назад в своем бизнесе смартфонов, сосредоточившись на освоении растущего рынка аппаратного обеспечения для искусственного интеллекта в Китае — это позволит конкурировать с NVIDIA. Для этого китайский производитель делает ставку на собственные чипы A...

Продажи Skoda Octavia и Skoda Kodiaq, собранных в Казахстане, стартуют через два месяца Появились подробности о проекте сборки в Казахстане сразу четырех моделей Skoda: Octavia, Kamiq, Karoq и Kodiaq. На данный момент соглашение между Skoda и заводом Allur подразумевает только отверточную сборку, но если сотрудничество будет плодотворным, а автомобили буду...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Не стоит переживать, что гарнитура Apple Vision Pro быстро устареет. Второе поколение выйдет не раньше конца 2026 года Некоторые продукты Apple сменяют поколения каждый год, а порой и чаще, из-за чего пользователи, купившие новинку, быстро оказываются в ситуации, когда на рынке есть уже что-то новее и лучше. Но с гарнитурой Vision Pro такого не будет. Согласно свежим данным, второе поко...

Honor выходит на IPO на фоне отличного роста продаж Стоит напомнить, что компания Honor начал свой собственный путь после отделения от Huawei в конце 2020 года. С тех пор она пережила стремительный рост и теперь готова войти в следующий этап своей жизни — руководство китайского гиганта Honor готовит IPO (первичное публичное р...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

Toyota готовит мини-Land Cruiser и соверешенно новый внедорожник 340D Toyota начала разработку нового внедорожника для индийского рынка, который будет выпущен в начале 2026 года и станет ключевым продуктом для нового завода, о чем сообщает Reuters со ссылкой на осведомленных информаторов. Новый внедорожник C-сегмента под кодовым названием...

Премиальная аниме-гача Ex Astris вышла на iOS и Android Мобильные геймеры привыкли после Genshin Impact, что аниме-гачи обязательно должны быть бесплатными и иметь очень мало или очень много доната. В 2024 году Ex Astris первой решила выйти за рамки этих устоев — её выпустили на iOS и Android 26 февраля по всему миру. За проект п...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

Компанию Nvidia обвинили в том, что компания превратилась в картель GPU и не терпит, когда её клиенты обращаются к конкурентам Похоже, компания Nvidia действительно ведёт себя достаточно агрессивно со своими партнёрами, если речь заходит о сотрудничестве этих самых партнёров c конкурентами Nvidia.  создано DALL-E Компания Groq, недавно представившая впечатляющий своей производительностью ...

LPDDR6 уже в этом году как минимум в виде стандарта. JEDEC завершит разработку стандарта памяти LPDDR6 уже к третьему кварталу Память DDR5 до сих пор окончательно не вытеснила DDR4, но уже в этом году как минимум на бумаге первая перестанет быть самой современной. Как сообщается, организация JEDEC завершит разработку стандарта памяти LPDDR6 уже в этом году.  Закончить работу собираются к ...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

Nvidia решила сама взяться за популяризацию игровых ПК формата SFF. Компания запустила целую инициативу по этому поводу Компания Nvidia решила, что на рынке мало по-настоящему компактных и по-настоящему игровых ПК, поэтому вместе с партнёрами запустила инициативу SFF Enthusiast GeForce, в рамках которой на рынке появятся новые продукты для создания ПК класса SFF.  фото: Nvidia Речь...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

Iran Khodro – в Россию, Lada – в Иран. АвтоВАЗ выходит на рынок Ирана, уже найден дистрибутор Пока российские дилеры потихонечку небольшими партиями завозят в страну иранские авто производства Iran Khodro, АвтоВАЗ взялся за официальное освоение авторынка Исламской Республики. У компании уже появился и дистрибутор – местная компания Nika Motor. «Рыно...

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Intel потеснит TSMC на рынке литографии, выпуская чипы даже для AMD и NVIDIA "Синий лагерь" не против производить продукцию в том числе и для своих конкурентов

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года Возможно, некоторые процессоры Intel, которые мы ожидали в этом году, выйдут лишь в следующем.  Согласно данным инсайдера Golden Pig Upgrade, в этом году не будет новых высокопроизводительных CPU Core-HX. Речь о поколении Arrow Lake, которое должно выйти в конце т...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Далеко не все авто Toyota успешны и популярны. Технический директор японской компании фактически признал провал водородного седана Toyota Mirai У Toyota много хитовых машин: Corolla – мировой бестселлер, Corolla Cross очень популярна в Японии и Европе, Camry и RAV4 – иконы своих классов, то же самое можно сказать о Land Cruiser. Но не все модели японской компании популярны: антипримером является вод...

Почему я выбираю для себя только смартфоны Huawei. Спойлер: они реально лучшие Смартфоны Huawei вызывают много споров в силу своей уникальности. Они — единственные на рынке — не имеют сервисов Google, и многие отказываются их покупать только в силу этого. Однако есть и такие, кто погружается в тему более глубоко и выявляет иные недостатки ...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Компания Oclean представила на российском рынке сразу три умных гаджета Некоторое время назад в сети появилась информация о том, что компания Oclean, которая специализируется на различного рода устройствах для ухода за полостью рта, готовится выйти на российский рынок. А сегодня компания официально представила в России сразу две зубные щётки и и...

Apple наконец-то кинулась инвестировать в искусственный интеллект, но пока отстаёт от рынка. В следующем году компания потратит почти 5 млрд долларов Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Похоже, Apple действительно решила начать активно инвестировать в развитие собственного генеративного искусственного интеллекта. Как сообщается, только в следующем году на это направлен...

Вместо Lada – XCITE. На бывшем российском заводе Nissan начали выпускать автомобили XCITE, уже собрано несколько тысяч машин Сегодня ООО «Автозавод Санкт-Петербург» (раньше предприятие называлось «Lada Санкт-Петербург», но после введения американских санкций в отношении АвтоВАЗа осенью прошлого года отечественный флагман решил дистанцироваться от предприятия) официальн...

«Самый антипотребительский продукт AMD, граничащий с мошенничеством». Тесты процессора Ryzen 7 5700 показали, что в играх он хуже Ryzen 5 5600 Линейка настольных процессоров AMD Ryzen уже давно включает как чиплетные модели, так и переехавшие из мобильного сегмента чипы с монолитным кристаллом. Недавно вышедший без лишнего шума Ryzen 7 5700 относится именно ко вторым, и тесты показывают, что для игр это очень ...

Apple понадобилось на это 14 лет. Компания наконец-то представит калькулятор для iPad Первый iPad вышел в 2010 году, фактически создав новый рынок и спровоцировав появление огромного количества аналогичных продуктов от других производителей. Но за 14 лет Apple не удосужилась разработать для iPad банальный калькулятор. Согласно свежим данным, на грядущем ...

Конкурента для самых мощных ускорителей Nvidia, но не от AMD или Intel. Microsoft готовит собственный чип под названием Athena Похоже, у компании Microsoft большие планы на собственные чипы в разных сегментах. Ранее мы уже слышали, что компания хочет создать собственные платформы для своих ноутбуков Surface, теперь же сообщается, что уже в ноябре Microsoft представит собственные чипы для работы...

[Перевод] Взлёт TSMC Семь-восемь лет назад TSMC производила процессоры, которые отставали от аналогичных процессоров Intel на несколько поколений. Пятнадцать лет назад выпускала дешёвые чипы на заказ, которые не ставили рекордов производительности. А тридцать лет назад едва появилась на свет. &n...

По новой машине каждые 8 секунд. BYD, которая уже перестала выпускать авто только с ДВС, выпустила 6-миллионный NEV Сегодня, 24 ноября, с конвейера в Китае сошел шестимиллионный автомобиль на новых источниках энергии (NEV) от BYD. Это внедорожник Fang Cheng Bao (Leopard 5) Bao 5, который вышел на рынок 9 ноября. BYD потребовалось всего три месяца, чтобы произвести 1 миллион новых эле...

Семь лет обновлений Android — вот как на это решилась Google. Компания объяснила, что стояло за этим решением Выпуская свои смартфоны Pixel 8, компания Google поразила рынок своим заявлением о семи годах поддержки, причём все эти семь лет смартфоны будут получать обновления Android. Теперь компания объяснила, что стояло за таким серьёзным решением и почему Google вообще пошла н...

Новые процессоры Intel собираются бороться с Ryzen 9000 без поддержки Hyper-Threading. Новые данные подтверждают её отсутствие у Arrow Lake Пока AMD, по слухам, нарастит производительность процессоров Ryzen 9000 относительно текущих CPU на 40-50%, Intel действительно собирается лишить свои процессоры поддержки Hyper-Threading. На это указывают свежие данные.  Две модели линейки Arrow Lake-S были замеч...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Инновации на руке: почему в современных умных часах нет ничего нового Первые умные устройства появились еще в 20 веке. Они были громоздкими, дорогими и не пользовались популярностью у населения. Часы были полностью электронными, а из функций только менялась яркость по датчику освещенности, а чтобы посмотреть время, нужно было нажать кнопку. Те...

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Samsung тоже выпустит свой процессор для ИИ, но конкурировать с Nvidia пока не собирается. Mach-1 выйдет в следующем году Компания Samsung выпускает очень много полупроводниковой продукции, но в первую очередь это чипы памяти и собственные SoC Exynos. Теперь вот, как сообщается, Samsung собирается выйти на разгоняющийся рынок процессоров для ИИ.  создано DALL-E Решение корейского гиг...

Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel, похоже, намекает нам на то, что в будущем процессоры вполне смогут потреблять более 1 кВт мощности. Совместно с Submer процессорный гигант анонсировал революционную, как говорят участники, разработку в области однофазной технологии погружного охлаждения,...

В Москве запустили серийное производство материнских плат. Они изначально созданы под отечественные процессоры По сообщению руководителя московского Департамента инвестиционной и промышленной политики Владислава Овчинского, компания — резидент особой экономической зоны (ОЭЗ) «Технополис Москва» разработала и запустила в производство материнскую плату с поддержк...

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

Один производитель, чтобы править всеми. Toyota возглавляет мировой рынок уже четыре года подряд Компания Toyota Motor четвертый год подряд занимает первое место на мировом рынке по объемам продаж автомобилей, о чем пишет ТАСС со ссылкой на агентство Kyodo. Toyota еще не опубликовала официальные данные по продажам за 2023 год, но, по данным агентства, японская комп...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Xiaomi 15 выйдет в октябре 2024 года На прошлой неделе появилась информация от инсайдеров о том, что у компании Xiaomi есть эксклюзивное право на первый запуск смартфона на базе грядущего процессора Snapdragon 8 Gen 4 от Qualcomm, а теперь появились данные о том, когда этот релиз можно будет ждать. Согласно ано...

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

Большинство игр будет работать на SoC Snapdragon X Elite. Вопрос производительности пока открыт Уже летом на рынке должны появиться ноутбуки на основе SoC Qualcomm линейки Snapdragon X Elite. Первые тесты показывают, что по процессорной производительности, как минимум в этих самых тестах, топовая платформа линейки очень неплоха. Теперь Qualcomm говорит, что и игры...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

SpaceX достигла 70 запусков в третьем квартале 2023 года Ожидалось, что 2023 год станет рекордным для SpaceX, поскольку количество запусков как Starlink, так и коммерческих миссий достигло исторического максимума. На данный момент SpaceX уже осуществила 70 запусков в этом году, превзойдя рекорд 2022 года, когда было осуществл...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

iPhone 17 станет первым смартфоном Apple, разработка которого стартует за пределами Китая Компания Apple всё активнее будет переносить производство своих iPhone из Китая в Индию. Как сообщает аналитик Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), базовый iPhone 17 станет первым смартфоном Apple в истории, разработка которого стартует за пределами Китая....

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

У Tesla есть процессор размером с iPad, который потребляет 15 кВт мощности. Стали известны подробности нового чипа Dojo У компании Tesla есть собственный суперкомпьютер Dojo, который уникален тем, что основан на чипах собственной разработки Tesla. Но компания уже занимается процессорами Dojo нового поколения, и это решение гигантских размеров.  Фактически новый чип Dojo — это...

Стартап Kurs Orbital привлёк $4 млн для разработки новой технологии обслуживания спутников 7 марта стартап Kurs Orbital, занимающийся разработкой космических технологий для обслуживания спутников, объявил о получении начального финансирования в размере $4 000 000.  Основанная в 2021 году бывшим директором Украинского космического агентства Владимиром Усо...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

AMD упакует 32 процессорных ядра в один чиплет. Такими будут уже CPU на архитектуре Zen 6 Несмотря на то, что в Сети ещё достаточно мало информации об архитектуре AMD Zen 5 и продуктах на её основе, сегодня мы получили достаточно подробные данные о CPU на основе Zen 6.  Информация касается в основном серверных CPU, но она всё равно важна, потому как ко...

Ни логотипа Nokia, ни оригинального дизайна. Появились качественные рендеры смартфона HMD Pulse В Сети появились качественные рендеры смартфона HMD Global Pulse. Это первый или один из первых аппаратов, которые компания выпустит под своим брендом.  Как можно видеть, для своего смартфона HMD выбрала максимально безликий дизайн. На рынке очень много аппаратов ...

Huawei, как тебе это удаётся? Компания смогла опередить Apple и возглавить рынок смартфонов Китая, пусть и пока лишь на две недели Продажи смартфонов Huawei Mate 60, как мы уже не раз сообщали, были впечатляющими. Однако данные Counterpoint показывают, что в начале текущего года Huawei смогла добиться ещё большего.   Китайский гигант не просто сильно нарастил продажи за первые две недели 2024...

Новинок Chery в России будет гораздо больше, чем сообщалось ранее Китайская компания Chery планирует значительно увеличить модельный ряд автомобилей, которые будут официально продаваться в России. Мы уже сообщали, что в ноябре будут представлены обновленный гибридный кроссовер Chery Tiggo 8 Pro e+ и его «младшая» версия в ...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

В России возобновится производство Hyundai Solaris, Hyundai Creta и Kia Rio. Все подробности Автоэксперт и ведущий Telegram-канала «Русский автомобиль» Сергей Цыганов раскрыл очень интересные подробности о будущем российского завода Hyundai – Hyundai Motor Manufacturing Rus (ХММР). По его словам, предприятие достанется компании «Авилон&r...

Бывший российский завод Hyundai переименовали в «Автомобильный завод АГР» Бывший российский автомобильный завод Hyundai Motor в Сестрорецке, который был выкуплен компанией «Арт-Финанс», получил новое название. Как сообщает инсайдерский телеграм-канал «Автопоток», предприятие переименовано в «Автомобильный завод А...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Для Nvidia это очень щедро. GeForce RTX 4080 Super будет на 200 долларов дешевле обычной RTX 4080, а RTX 4070 Ti Super догонит по производительности RTX 4080 Видеокарты GeForce RTX 40 Super будут представлены уже завтра. Судя по второй утечке, цены действительно будут такими, о как мы уже указывали.  То есть RTX 4070 Super будет стоить 600 долларов (обычная RTX 4070 якобы подешевеет до 550 долларов), RTX 4070 Ti Super ...

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

ChatGPT заполучит собственные ускорители вычислений? OpenAI рассматривает возможность разработки своих чипов для работы с ИИ Компания OpenAI, известная своим генеративным ИИ ChatGPT, может создать свои собственные ускорители для обучения искусственного интеллекта.  создано DALL-E в Bing Подобные обсуждения в компании начались ещё в прошлом году на фоне нехватки высокопроизводительных чи...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Зато даже бюджетники Samsung теперь имеют нормальную производительность. За несколько лет компания втрое нарастила затраты на мобильные SoC Компания Samsung в несколько раз повысила расходы на закупку однокристальных систем для смартфонов за последние годы.  Согласно данным инсайдера Revegnus, за первые три квартала текущего года Samsung потратила на указанную сферу без малого 7 млрд долларов, что на ...

«Возрождение легенды. Новое имя знакомых шин». На заводе Nokian Tyres в Ленинградской области начали выпуск шин Ikon Tyres Компания Ikon Tyres запустила в серийное производство летние шины под одноименным брендом на бывшем заводе Nokian Tyres в Ленинградской области. «Летние шины, которые поступят в розничную продажу весной 2024 года, будут первыми шинами, на которых нанесен бренд Iko...

Китайские электромобили BYD появятся на рынке США благодаря новому заводу в Мексике Компания BYD Co Ltd, крупнейший в мире производитель электромобилей, объявила о планах построить новый завод в Мексике, чтобы увеличить свои поставки на рынок США. Компания также инвестирует в постройку завода в Бразилии для латиноамериканского рынка. Эти проекты являются ча...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Официально: кроссоверы Jetour начнут собирать в России Небольшая сенсация на автомобильном рынке России: генеральный директор Jetour Auto Джек Чэнь заявил о том, что компания (напомним, Jetour – это бренд Chery) наладит в России производство своих автомобилей. Не исключено, что производство Jetour Traveler наладят в ...

BYD выбрала страну для своего первого европейского завода по производству автомобилей BYD планирует построить свой первый европейский автомобильный завод в Венгрии, что является частью плана китайской компании, которая планирует бросить вызов Tesla в новом регионе. Завод в южном городе Сегед будет производить электромобили и подключаемые гибриды для евро...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Nokia, живи. В текущем году на рынок выйдет минимум 17 новых мобильных телефонов Nokia Как известно, компания HDM Global решила сконцентрироваться на собственном бренде на рынке смартфонов вместо использования бренда Nokia. Однако мобильные аппараты Nokia как минимум в этом году точно никуда с рынка не денутся. Более того, появится минимум 17 новых моделе...

AMD обещает исправиться. Компания начнёт указывать частоты малых ядер Zen 4c в своих процессорах Компания AMD начинает активнее использовать условно малые ядра Zen 4c в своих процессорах, но по каким-то причинам раскрывает не всю информацию о них. Однако в ближайшее время компания обещает исправиться.  фото: AMD В частности, мы не раз говорили, что технически...

Xiaomi не собирается копировать популярные в России Li L7, Li L8 и Li L9, и не работает над гибридной платформой Xiaomi официально прокомментировала ранее опубликованные сведения о том, что компания работает над 7 новыми моделями. Ван Хуа, менеджер отдела по связям с общественностью Xiaomi, заявил, что «новости не соответствуют действительности», а представитель Xiaomi...

Спасибо, Qualcomm, дальше сами. Huawei с 2024 года перейдет на собственные однокристальные системы Как сообщает ресурс MyDrivers, Huawei запустила процесс расчистки складских запасов в рамках подготовки к выпуску большого количества новинок. Их выход ожидается в конце текущего – начале следующего года. Устройств будет так много, что это называют «наводнен...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Это худшие смартфоны Xiaomi за всю историю. Мне жаль их владельцев Xiaomi уже не первый год предстает перед нами в роли конвейера, ежегодно выпускающего около сотни разных моделей. Среди них есть откровенно неудачные устройства, хотя активная реклама вкупе с репутацией надежного бренда сделали свое дело, и худшие смартфоны Xiaomi за всю ис...

AMD празднует 55-летие Компании AMD вчера исполнилось 55 лет. Она была основана 1 мая 1969 года бизнесменом Джерри Сандерсом и семью его коллегами, которые до этого работали в Fairchild Semiconductor.   Довольно долго AMD не была той компанией, о которой знают буквально все, кто хоть не...

В Москве начали устанавливать первые российские банкоматы. Названа степень локализации Мэр Москвы, Сергей Собянин, объявил, что первые отечественные банкоматы уже начали устанавливаться в городе. «Первые устройства уже получили три банка-партнера. Еще несколько организаций проводят тестирование. Серийный выпуск российских банкоматов начался в индуст...

Пока продажи падают даже у Apple, Huawei каким-то образом активно растёт. Появилась свежая статистика рынка планшетов Аналитики Canalys оценили рынок планшетов по итогам 2023 года. Рынок ожидаемо просел.  фото: iXBT За год в мире было продано 135,3 млн планшетов, что на 10,3% меньше, чем в 2022 году. Может показаться, что это плохо, однако стоит помнить, что во времена пандемии C...

Великое возвращение Huawei: компания обошла всех и возглавила китайский рынок смартфонов, по данным Canalys Huawei удалось вернуться в лидеры на рынке смартфонов Китая, опередив Apple, Oppo, Vivo и другие компании. Об этом говорится Данные за первый квартал 2024 года, опубликованные в конце прошлой неделе аналитической компанией Canalys, показывают, что Huawei поставила в Кит...

Как мы случайно стали одной из крупнейших ИТ-компаний Дагестана К квартире мы сразу сделали сайт, вот так он выглядел в 2005 году. Очень современно по тогдашним меркам! Всё началось с квартиры, на окне которой было написано «Магазин электроники». Через 10 лет мы развились до гипермаркета, занялись бытовой техникой, начали обучать собст...

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

В феврале этого года продали ещё меньше «Москвичей», чем в январе Завод «Москвич» в феврале текущего года реализовал более 1050 автомобилей, 100 из которых – это лифтбэк «Москвич 6», сообщает агентство «Автостат». Итоги февраля ещё слабее, чем январские, когда была продана 1221 машина. Для сра...

Так будет выглядеть совершенно новая «Волга»? На ГАЗе засняли Changan Raeton Plus, он может превратиться в возрождённую «Волгу» В Минпромторге ещё в прошлом году анонсировали возрождение легендарного отечественного автобренда «Волга», и уже тогда стало понятно, что путь будет таким же, как у «Москвича». Но не был известен китайский партнер: учитывая статус «Волги&ra...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Эти смартфоны заставили меня полюбить Xiaomi. Признавайтесь, пользовались ими? До 2018 года компания Xiaomi для меня стояла в одном ряду с Meizu и другими заштатными производителями, многие из которых с тех пор успели кануть в лету. Но именно тогда китайский бренд начал активную борьбу за лидерство на рынке смартфонов, в течение пары последующих лет в...

NVIDIA GeForce RTX 5090 выпустят до конца текущего года Судя по информации иностранных инсайдеров, следующее поколение видеокарт NVIDIA GeForce RTX 5090 и RTX 5080 на архитектуре Blackwell должно выйти на рынок в четвёртом квартале 2024 года. Стоит напомнить, что текущий год начался с выпуска серии GeForce RTX 40 SUPER, которая п...

Процессор Apple M4 сделал iPad Pro 2024 мощнее новых макбуков. Насколько он круче других чипов от Apple? 7 мая компания Apple представила iPad Pro 2024, неожиданным компонентом которого стал новый процессор Apple M4. Внезапным это появление стало по двум причинам. Во-первых, предшествующий Apple M3 вышел меньше года назад. Во-вторых, процессоры M-серии, будучи самыми производи...

Samsung пообещала функции Galaxy AI на 100 млн устройств в этом году, но ещё не решила, как пользователи будут платить за них с 2025 года Компания Samsung недавно запустила свои новые флагманские смартфоны Galaxy S24. Кроме прочего, они получили функции Galaxy AI на основе искусственного интеллекта. Теперь компания пообещала, что вскоре эти функции будут доступны на 100 млн устройств компании.  Глав...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Пока что и близко не GTX 1650 Ti. Первые тесты iGPU в процессоре Intel Meteor Lake не позволяют назвать это революцией Процессоры Intel Meteor Lake могут отобрать у Ryzen 7000 звание носителей самого производительного iGPU в сегменте. Мы это слышали от разных источников, а теперь можем попытаться подтвердить или опровергнуть, опираясь на первые тесты.  Процессор Core Ultra 7 155H,...

Теперь мы знаем, насколько действительно успешными оказались смартфоны Huawei Mate 60. Стало известно, сколько аппаратов продала компания Мы уже немало слышали о том, как успешны оказались смартфоны Huawei линейки Mate 60 в Китае, но теперь у нас наконец-то есть конкретные данные: 1,6 млн.  Фото: Huawei Именно столько своих новых флагманских смартфонов Huawei продала за шесть недель, согласно данным...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

«Dimensity 9300 — самая мощная SoC для смартфонов на рынке на сегодняшний день». Аналитики Morgan Stanley прогнозируют рост MediaTek Dimensity 9300 — самая мощная однокристальная система для смартфонов на рынке на сегодняшний день. Это мнение аналитиков Morgan Stanley, на которых ссылается Bloomberg.  И это не просто мнение ради мнения. Аналитики говорят, что акции MediaTek выросли почти ...

Lada Aura и Iskra проходят испытания вместе с двумя «китайцами» На автополигоне в Сосновке в Самарской области начали испытывать прототип новой седана Lada Aura, который является увеличенной и более премиальной версией Lada Vesta. Об этом сообщил инсайдерский Telegram-канал «Автопоток» со ссылкой на собственный источник:...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Китайская SMIC начала освоение 3-нм норм – на это TSMC и Samsung потребовалось 10 лет Уже сегодня китайская компания способна выпускать чипы на основе 5-нм технологического процесса

Первый складной iPhone выйдет вместе с Samsung Galaxy Z Fold 9 и Flip 9. К его разработке подключили часть создателей Vision Pro Apple значительно отодвинула свои планы по выходу на рынок складных смартфонов, о чем сообщает издание DigiTimes со ссылкой на собственные источники. Если верить DigiTimes, Apple отложила выпуск своего первого складного iPhone с четвертого квартала 2026 года на первый к...

Snapdragon X Elite уничтожает новейший Core Ultra 7 155H в первых тестах. Правда, они не касаются процессорной части Платформа Snapdragon X Elite уже показала себя хорошо на фоне Core i7-13800H и Apple M2 Pro. Теперь же сама Qualcomm продемонстрировала, как её новая SoC может быть на порядок быстрее новейшего Core Ultra 7 155H.  В данном случае речь идёт уже не о бенчмарке, а о ...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Очень необычные для Intel процессоры Lunar Lake всего с четырьмя большими ядрами в конце текущего года выйдут в очень ограниченных объёмах Похоже, процессоры Intel Lunar Lake ждёт судьба актуальных ныне Meteor Lake. В том смысле, что первые тоже выйдут в самом конце года.  Согласно данным инсайдера Golden Pig Upgrade, Intel в случае с Lunar Lake будет придерживаться той же программы EEP (Early Enable...

AMD поможет создать многокристальные чипы для американских военных. Компания заключила контракт с Raytheon Компания Raytheon, которая является главным оборонным подрядчиком США, заключила контракт с AMD на создание многочиповой упаковки.  фото: AMD Контракт на сумму в 20 млн долларов подразумевает разработку многочиповой упаковки нового поколения для использования в на...

Заполучить себе серверный суперпроцессор Nvidia Grace Hopper GH200 в обычном настольном ПК за 40 000 долларов. Такую систему предлагает GPTshop Серверный ускоритель Nvidia Grace Hopper Superchip теперь можно купить в виде обычного ПК. Такую рабочую станцию сможет купить каждый. Конечно, если у него есть 41 500 долларов.  Указанная сумма — это стартовая цена для такого ПК. За эти деньги покупатель по...

Samsung Galaxy S24 Plus рвет продажи. Чем он так хорош и почему надо покупать именно его Флагманская линейка Galaxy S от Samsung демонстрирует впечатляющий ежегодный рост продаж в течение последних двух лет. Но в этом году, согласно отраслевому отчету, во многом благодаря модели Galaxy S24 Plus. Серия, включающая модели S24, S24 Plus и S24 Ultra, за первые неде...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

Глава «Московского центра автоматических трансмиссий»: в России есть вои «автоматы», но отечественному инвестору проще завозить устаревшие вариаторы из Китая Недавно директор проекта Vesta Волжского автозавода Олег Груненков сообщил, что в России нет своей школы по созданию автоматических коробок передач, да и дело это не быстрое — на разработку уйдет 5-7 лет. Поэтому АвтоВАЗ закупает китайские вариаторы. Однако в реал...

Большой API для экспериментов и front проектов или памятка о том, как я создавал проект Это моя первая статься, а потому, как мне кажется, будет хорошим шагом начать с того, кто я такой. Меня зовут Юрий, я учусь на программиста и попутно сам стараюсь изучать всё возможное про мою специальность, а моя специальность - это .Net. Влюбился в него и вцепился с первог...

Более 100 моделей смартфонов Xiaomi, Redmi и Poco получат HyperOS на замену MIUI. Полный список моделей Ресурс XiaomiUI, постоянно отслеживающий выпуск новых прошивок для смартфонов Xiaomi, Redmi и Poco, а также имеющий свои источники в отделах разработки ПО китайской компании, опубликовал перечень смартфонов, подлежащих обновлению до HyperOS. Новая мобильная операционна...

Белорусский кроссовер BelGee X50 станет еще лучше исходного Geely Coolray, но не сразу Президент Белоруссии Александр Лукашенко на встрече с работниками белорусский предприятий рассказал, как будет проходить локализация кроссовера BelGee X50, который пока является лишь переименованным Geely Coolray. Глава страны заявил, что локализацию нужно увеличивать. ...

SpaceX и Blue Origin модифицируют свои пилотируемые посадочные модули программы Artemis для доставки грузов NASA продолжает активную подготовку к реализации программы Artemis, нацеленной на возвращение человека на Луну после более чем 50-летнего перерыва. В рамках усилий по обеспечению будущих лунных экспедиций необходимой инфраструктурой и средствами передвижения, агентство ...

Илон Маск поперхнулся: Xiaomi представила свой первый электромобиль Пока многие из нас потешались над китайскими производителями техники, за последнее время они сделали большой рывок, и яркое тому свидетельство — компания Xiaomi. Представив в 2010 году оболочку MIUI, уже в 2021-м она стала мировым лидером по объему выпуска смартфонов и знач...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Chery попросила АвтоВАЗ не афишировать факт партнерства. Новые подробности сборки Chery Tiggo 7 Pro на бывшем заводе Nissan в Санкт-Петербурге Telegram-канал «Автопоток» опубликовал новые подробности о проекте сборки автомобилей Chery Tiggo 7 Pro на бывшем заводе Nissan в Санкт-Петербурге. Оказывается, поначалу Chery отказалась от сотрудничества. «Chery согласилась на партнерство с АвтоВАЗом...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Digital Foundry: мы не думаем, что порт GTA VI для Switch и мобильного чипсета возможен Издание Digital Foundry поделилось своими мыслями о том, что Grand Theft Auto VI вряд ли когда-либо выйдет на мобильном чипсете, что касается как Nintendo Switch, так и устройств на IOS и Android. «Мы бы с удовольствием сказали, что это произойдёт. Но в реальности мы не дум...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Смартфоны совершенно перестали развиваться. В этом виноваты мы с вами Многие заметили, что компании выпускают незаконченные продукты, программное или аппаратное обеспечение, чтобы удовлетворить постоянный спрос клиентов, который приучили к тому, что новые телефоны выходят каждый год. Мы постоянно ищем что-то, что будет «быстрее, выше и сильне...

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Стало известно, когда российский завод Hyundai возобновит работу Глава Минпромторга Денис Мантуров рассказал, когда состоится перезапуск российского завода Hyundai. Под конец 2023 года появились слухи, что производство запустят уже в январе, но в реальности сборка авто начнется позже. Фото: Hyundai «Что касается Hyundai, мы ра...

Теперь Intel копирует подход AMD? Для сокета LGA1700 выйдут процессоры Bartlett Lake, которые не принесут ничего нового, но будут доступными Похоже, компания Intel может ещё немного поэксплуатировать платформу LGA1700, прежде чем она уйдёт на пенсию. Согласно свежим данным, для этой платформы выйдет ещё одна новая линейка процессоров.   Линейка называется Bartlett Lake. Это не будет какое-то полностью ...

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

Через несколько лет большинство компьютеров на рынке будет с искусственным интеллектом Искусственный интеллект активно захватывает всё новые рынки. Согласно свежим прогнозам, в ближайшие годы большая часть ПК будет иметь поддержку ИИ.  создано DALL-E Аналитики IDC прогнозируют, что уже к 2027 году 60% всех поставляемых ПК будут поддерживать ИИ на ап...

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

В России запущен бренд полуприцепов Wagnermaier, открыто СП с европейским производителем. Локализация достигнет 75% Дефицит полуприцепов на российском рынке решается довольно быстро запуском новых производств. На территории ОЭЗ «Алабуга» в Татарстане открылось производство магистральных полуприцепов бренда Wagnermaier, на котором ежегодно будут производить 3 000 един...

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Apple ещё далеко до Dell, но компания показала лучший рост среди других лидеров рынка ПК Аналитики IDC опубликовали данные о рынке ПК по итогам первого квартала 2024 года.   Рынок в целом вырос незначительно — на 1,5%, до 59,8 млн устройств. При этом результаты некоторых компаний из списка лидеров существенно отличаются от итогов рынка в целом. ...

[Перевод] Магнитогидродинамический привод позволит построить на Марсе заправочные станции В течение следующих пятнадцати лет НАСА, Китай и SpaceX планируют отправить на Марс первые экспедиции с экипажем. Во всех трёх случаях эти миссии должны завершиться созданием на поверхности среды обитания, которая позволит возвращаться на Марс много раз и, вполне возможно, с...

Бывший завод Volkswagen в Калуге перезапустят в первой половине этого года По заявлению главы Минпромторга России Дениса Мантурова, перезапуск производства автомобилей на бывшем заводе Volkswagen в Калуге запланирован на первое полугодие текущего года. Об этом министр рассказал в интервью журналистам ТАСС. Напомним, владельцем калужского завод...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Завод «Лада Санкт-Петербург» за полгода выпустил «более 100 автомобилей». На полную мощность он выйдет в 2024 году Завод «Лада Санкт-Петербург», который ранее принадлежал компании Nissan, пока ещё не работает на полную мощность. По информации, предоставленной президентом «АвтоВАЗа» Максимом Соколовым на мероприятии «Баркемп-2023. Национальная технологич...

Когда слабый контролирует сильного: у OpenAI есть идея по обузданию мощных ИИ-моделей недалёкого будущего Компания OpenAI с момента своего основания занимается разработкой ИИ-систем, чтобы те приносили пользу человечеству. По плану так должно происходить даже в том случае, если ИИ станет умнее своих создателей. Внутри компании есть несколько команд. Одна из них занимается разраб...

Наконец-то у Intel будет конкурент для GeForce RTX 3050. Видеокарта Arc A580 будет соперничать с этой моделью и заодно с Radeon RX 6600 Видеокарта Intel Arc A580, которая наконец-то должна выйти на рынок спустя более чем год после анонса, будет конкурировать с GeForce RTX 3050 и Radeon RX 6600.  По крайней мере это следует из слайда Intel, который попал в Сеть. Если посмотреть на текущее положение...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Xiaomi снова занимается разработкой собственной платформы для своих смартфонов. Прошлый опыт компании был не особо успешным Компания Xiaomi работает вместе с Arm над некой однокристальной системой для смартфонов.  Об этом рассказал генеральный директор MediaTek, а также эту информацию можно найти в одном из отчётов Couterpoint.  Пару лет назад уже сообщалось, что с Arm над собстве...

Процессоры Ryzen 8000G, которые на самом деле технически будут моделями Ryzen 7000G, выйдут в конце января Компания Gigabyte подтвердила, что гибридные процессоры Ryzen нового поколения для AM5 будут представлены в конце января 2024 года.  Сама компания не называет модели, но речь идёт либо о Ryzen 7000G, либо о Ryzen 8000G. Напомним, последние утечки указывали на то, ...

Топовые процессоры Intel слишком высокочастотные, и это проблема. Разработчики Outpost: Infinity Siege советуют опустить частоту до 5 ГГц Современные процессоры Intel выделяются очень высокой частотой работы. Оказалось, что это порой может быть плохо. Как минимум разработчики новой игры Outpost: Infinity Siege советуют владельцам снижать частоты своих CPU.  фото: Intel Игра вышла совсем недавно и на...

Intel, и это твой самый современный процессор? Core Ultra 7 155H разгромно проиграл Ryzen 7 7840U под Linux, порой отставая в три раза Мы уже ранее видели тесты процессора Intel Core Ultra 7 155H, в которых он в целом показал себя неплохо, хотя и во многом лишь повторял результат аналогичных моделей Raptor Lake и конкурирующих Ryzen 7040. Оказалось, что при сравнении под управлением Linux для новинки I...

Samsung Galaxy S24 Ultra всё-таки не догонит даже iPhone 14 Pro Max? В тестовых образцах смартфона нет спутниковой связи Смартфоны Galaxy S24 будут дешевле предшественников? Samsung оптимистично настроена относительно новых флагманов Смартфон Samsung Galaxy S24 Ultra, согласно всем имеющимся данным, должен получить титановый корпус и поддержку спутниковой связи, что сделает его более кон...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Это российский Chery Tiggo 7 Pro под названием XCITE X-Cross 7. Первое фото Популярный в России кроссовер Chery Tiggo 7 Pro, который собирается на заводе «Автозавод Санкт-Петербург», будет выпущен на рынок под брендом XCITE. Об этом сообщает инсайдерский Telegram-канал «Автопоток»: «Chery Tiggo 7 Pro петербургской ...

Samsung Exynos 2400 представят уже сегодня, а «чип мечты» Exynos 2500 лишится GPU Radeon Samsung якобы подтвердила, что представит однокристальную систему Exynos 2400 уже сегодня, на мероприятии Tech Day 2023, о чем сообщает проверенный инсайдер Ice Universe. Ранее появилась информация, что Samsung рассматривает возможность разработки собственного уникально...

Apple Vision Pro — в топку! HUAWEI скоро выпустит свою AR-гарнитуру, которая будет дешевле и круче Очевидно, главная технологическая новинка 2024 года — гарнитура смешанной реальности Apple Vision Pro. Устройство, которое производитель позиционирует как пространственный компьютер, стало поводом для создания тысяч мемов из-за своей необычности и цены, достигающей в России...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

MediaTek показала Dimensity 8300. Дешевый чип с флагманскими возможностями ИИ Компании Qualcomm и MediaTek уже анонсировали флагманские процессоры для смартфонов с поддержкой функций генеративного искусственного интеллекта. Как обычно, технологии заходят в наш мир с верхних сегментов, но рано или поздно опускаются в средний и бюджетный. В этот раз эт...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

Турция решила заняться производством космических кораблей Президент Турции, Реджеп Тайип Эрдоган, объявил о намерении страны начать производство собственных космических аппаратов. Он выразил надежду на запуск турецкой ракеты в ближайшие годы. «Отправка в космос нашего первого астронавта стала нашим первым шагом для подго...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Раскладушка Xiaomi уложит на лопатки Samsung Galaxy Z Flip и всех остальных конкурентов? Смартфону приписывают огромный для этого класса аккумулятор Компания Xiaomi уже какое-то время выпускает складные смартфоны, но все они имеют форм-фактор книжки. Согласно данным инсайдера Digital Chat Station, компания готовит свою первую раскладушку.  Смартфон якобы получит SoC Snapdragon 8 Gen 3, то есть будет флагманом....

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

100-герцевый 24-дюймовый монитор всего за 70 долларов. Представлен Machenike MZF24F100 Недавно вышедший на российский рынок бренд Machenike представил дешёвый монитор с повышенной кадровой частотой.  Модель под названием MZF24F100 стоит всего 70 долларов, при этом предлагая частоту до 100 Гц. Это, конечно, далеко не так много, как у ряде более дорог...

Qualcomm уже тестирует процессор Snapdragon 8 Gen 4 Новый мобильный процессор Snapdragon 8 Gen 4, по информации инсайдеров, станет первым процессором от компании Qualcomm, который не будет использовать микроархитектуру процессорных ядер ARM и перейдёт на специальные ядра Oryon, которые внутри компании получили кодовое имя Pho...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

В Москве можно будет выиграть Lada Vesta NG Самарская область проведет розыгрыш автомобиля Lada Vesta NG на своем стенде на форуме «Россия» в Москве. Розыгрыш стартует 30 ноября. «Регистрация (проводится) на стенде на тач-панелях, подведение итогов - в конце декабря перед Новым годом, вручение -...

Intel отказывается от Core i3? В линейке процессоров Arrow Lake не будет моделей Core Ultra, а вместо них будут старые Core i3 Процессоры Intel Arrow Lake не только окончательно похоронят бренд Core i, заменив его на Core Ultra. Оказывается, они ещё и откажутся от моделей Core Ultra 3, которые могли бы называться Core i3.  Инсайдер Golden Pig Upgrade утверждает, что ни в мобильном, ни в н...

Snapdragon 7, которая положит на лопатки даже Snapdragon 8 Gen 2? Появились характеристики SoC Snapdragon 7 Plus Gen 3 В Сеть попали параметры однокристальной системы Snapdragon 7 Plus Gen 3, которая в ближайшее время должна выйти на рынок.  Инсайдер Digital Chat Station утверждает, что новая SoC получит одно ядро Cortex-X4 с частотой 2,9 ГГц, четыре ядра Cortex-A720 с частотой 2,...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

В Canon разработали 5-нм литограф, который стоит дешевле, чем система от ASML. Но есть нюанс В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет...

Эти китайские процессоры смогут потягаться хотя бы с современными Core i3? Loongson представила CPU 3B6600 и 3B7000 Компания Loongson представила новые потребительские процессоры: 3B6600 и 3B7000. Это новое поколение, которое, к кроме прочего, приносит больше ядер.  Многих подробностей пока нет, так как анонс, видимо, предварительный. Но слайды с презентации позволяют понять, ч...

Samsung спешит конкурировать с iPhone 15. Линейка Galaxy S24 может быть представлена раньше, чем обычно Смартфоны Samsung Galaxy S24 могут быть представлены раньше, чем обычно. Согласно данным инсайдера Ice Universe, анонс может состояться 18 января.  Источник отмечает, что дата может измениться, но всё же Samsung якобы наметила мероприятие на эту дату. Для сравнени...

Новая модель «Москвич 8» выйдет на рынок в конце 2024 года В пресс-службе столичного завода «Москвич» сообщили, что планируется начать производство и продажи новой модели автомобиля «Москвич 8» в конце текущего года. По словам заммэра столицы Максима Ликсутова это будет семиместный кроссовер, предназначенный для ежедневных поездок п...

Вместо Mercedes российский завод будет собирать премиальные Chery и Exeed Компания Chery может начать производство своих автомобилей на бывшем заводе Mercedes-Benz в подмосковном Есипово, о чем пишет «Авто.Mail.ru» со ссылкой на два источника, знакомых с ходом переговоров. По данным источников, именно Chery стала главным претенден...

Цена HUAWEI Pura 70 в России — просто топ! У нас новые флагманы даже дешевле, чем в Европе и Китае Когда в апреле состоялся анонс смартфонов HUAWEI Pura 70 на домашнем рынке КНР, новинки вызвали бурю негодования, в том числе и у меня, что было вполне объяснимо. Ведь, несмотря на классные камеры и экран, за Pura 70 Ultra на технически отстающем процессоре Kirin в Китае пр...

В TSMC считают, что Nvidia станет самой крупной полупроводниковой компанией к концу года Даже с учетом того, что всё больше компаний стремятся начать производить собственные чипы для искусственного интеллекта

Xiaomi готовится похоронить MIUI 15 и выпустить вместо нее MiOS? Проверяем китайские слухи Оболочка MIUI является неотъемлемой частью смартфонов Xiaomi, без которой невозможно представить ни одно мобильное устройство китайской компании. И как бы мы ни критиковали прошивку, она остается популярной и самобытной. Однако в последние дни активно ходят слухи о закрытии...

Этот мини-ПК с процессором Intel и подачей питания через RJ45 можно спрятать в карман. Представлен Minisforum S100 Компания Minisforum выпускает много разных мини-ПК, но зачастую их объединяют примерно одинаковые габариты и довольно высокая производительность. Модель S100, которую компания представила сегодня, выбивается из общей линейки.  Во-первых, она в разы компактнее. Габ...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Нашел лучший смартфон до 10 тысяч рублей. Ему уже 2 года, но он до сих пор тащит! На рынке смартфонов сложно встретить модель, которая бы успешно продавалась на протяжении более чем двух лет. Ведь ежегодно появляются новинки, отправляющие своих предшественников на свалку истории. Но в 2023 году Redmi 10 никто так и не смог сместить с пьедестала. Несмотря...

Что нового появится в MacBook Air M4 и когда он выйдет В марте Apple выпустила следующее поколение одних из самых популярных ноутбуков компании MacBook Air. В новой версии лэптопы сохранили прежний дизайн, диагональ экрана и получили процессор Apple M3, выполненный по 3-нм техпроцессу и гарантирующий компьютерам высокую произво...

Huawei вновь покоряет рынок Китая Сразу несколько крупных аналитических компаний сообщили, что рынок смартфонов Китая вырос на 6,5% в первом квартале 2024 года благодаря сильному спросу на устройства компаний Huawei и Honor. По данным IDC, которая отслеживает продажи по всему миру от квартала к кварталу, в п...

Samsung вырывается вперёд огромным скачком. Компания готовит к анонсу 290-слойную память V-NAND, а за ней придёт 430-слойная Компания Samsung уже в следующем месяце анонсирует память NAND нового поколения. Это будет V-NAND 9-го поколения с 290 слоями.  Это будет самая многослойная память NAND на рынке, но пока неизвестно, когда на её основе появятся первые SSD. Вполне вероятно, что уже ...

Бывший завод Continental в России выпускает шины под брендом Gislaved. Обещают и новый премиальный бренд Бывший шинный завод Continental в Калуге произвел ребрендинг и сейчас на нем выпускают шины под брендом Gislaved, о чем пишет «Коммерсантъ». Гендиректор компании «Кордиант» Вадим Володин сообщил, что завод вошел в шинный кластер на базе АО «...

Apple следует выпустить бюджетный смартфон, чтоб улучшить свои позиции на рынке Apple долгое время была довольна продажами iPhone в Китае, и азиатский рынок регулярно приносил компании прибыль, но теперь успех здесь начали демонстрировать местные производители.

Да, Ryzen 7 8840U не предлагает вообще ничего нового. Первый тест этого APU показывает, что разница с Ryzen 7 7840U не превышает 2% Компания GPD одной из первых анонсировала портативную игровую консоль на основе APU Ryzen 8000. И сегодня производитель поделился результатами производительности.  В данном случае речь об APU Ryzen 7 8840U — флагмане новой линейки AMD. Результат есть пока в ...

Ростелеком начнёт выпуск российских базовых станций в первой половине 2024 года Президент Ростелекома Михаил Осеевский на выставке «Россия» заявил, что компания выпустит на своих предприятиях первые образцы базовых станций для мобильной связи ещё до середины 2024 года. Он добавил, что следующим этапом импортозамещения для предприят...

Почему новый процессор Dimensity 9300 круче Snapdragon 8 Gen 3, и вам нужен смартфон на MediaTek В конце прошлого месяца компания Qualcomm показала процессор Snapdragon 8 Gen 3, который будет использоваться в большинстве флагманских смартфонов 2024 года. Но ее конкурент в лице MediaTek не дремлет и уже сегодня подготовил свой ответ. 6 ноября вышел новый процессор Dimen...

Стало известно, когда выйдет One UI 6 для твоего Samsung. Смотри и добавляй в календарь В октябре компания Samsung представила оболочку One UI 6, рассказав много интересного о новых фишках системы. Все бы ничего, но о точной дате выхода свежей прошивки не было сказано ни слова. В отличие от Apple, Samsung не может доставить обновление на все устройства сразу, ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Intel то ли соврала, то ли напутала. Представленные процессоры Core 14-го поколения не имеют поддержки Thunderbolt 5 Компания Intel на днях представила первые процессоры Core 14-го поколения. Эта линейка будет актуальной, как ожидается, около года до момента выхода Arrow Lake. К сожалению, вопреки ожиданиям и первоначальным слухам, новинки Intel не поддерживают интерфейс Thunderbolt 5...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Технологии мобильной связи развиваются. В Китае запустили 5.5G — что это за стандарт? Во всём мире активно развёртывают инфраструктуру мобильной связи 5G. В то же время различные компании ведут разработку 6G-технологий, реализуются и промежуточные проекты. Речь идёт прежде всего о сетях 5.5G, или 5G-Advanced. В Китае уже начали практическое освоение этого тип...

Белорусское — это теперь российское. Белорусскую микроэлектронику определённого типа начали приравнивать к российской Как сообщает ресурс «Ведомости», белорусскую микроэлектронику начали приравнивать к российской.   Пока это коснулось только аналоговых базовых матричных кристаллов (БМК), но вполне может распространиться и на другие виды продукции.  Суть в том, чт...

«Полосатый» процессор Intel с 90 ядрами и 656 МБ кеш-памяти. CPU из линейки Granite Rapids-SP засветился в Сети В Сети засветился процессор Intel поколения Granite Rapids-SP, которое будет нацелено на серверный сегмент.  Эти полосатые (чиплеты выполнены в виде полосок) CPU выделяются тем, что будут иметь большое количество ядер. Даже по меркам рынка в целом, не го...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Глобальная цель АвтоВАЗа на 2023 год достигнута не будет – завод не сможет выпустить 400 тыс. автомобилей АвтоВАЗ поставил на текущий год амбициозную цель произвести 401 тыс. автомобилей. Однако достигнуть этого у компании не получится: план производства будет скорректирован до 370 тыс. авто. Об этом, как пишет инсайдерский паблик Avtograd News, сообщил вице-президент по пр...

Новейшая Mazda EZ-6 будет проезжать до 1000 км на баке бензина, но полного привода у машины не будет Первая публичная премьера преемника Mazda6 — модели Mazda EZ-6 — состоялась на Пекинском автосалоне, а сейчас компания (машина является совместной разработкой Changan и Mazda) опубликовала все официальные изображения и ряд технических подробностей. Так, есл...

Oppo отказалась от релиза Find N5 Flip В середине февраля появилось довольно интересное сообщение о том, что компания Oppo собирается отказаться от рынка складных устройств из-за снижения своей доли на этом рынке в прошлом году и низких показателей продаж в целом. Вскоре после этого компания выпустила пресс-релиз...

Представлена Android 15: фокус на скорости и устранении отставания от Apple Появилась первая предварительная версия Android 15 для разработчиков. Это происходит в решающий момент, когда компания стремится укрепить свои позиции в борьбе с известной программно-аппаратной интеграцией Apple и сократить разрыв в доле рынка смартфонов.

В десятки раз слабее Nvidia H100, но зато полностью собственная разработка. Loongson представила ускоритель для ИИ LG200 Китайская компания Loongson выпускает не только одни из самых современных китайских процессоров, но и GPU. И её новая разработка призвана потягаться с ускорителями Nvidia для ИИ, правда, далеко не самыми производительными и современными.  создано DALL-E Ускоритель...

Снова люди будут бояться создания чёрной дыры на Земле? CERN продвигают проект нового ускорителя частиц, который будет в семь раз мощнее БАК Большой адронный коллайдер (БАК) является самым крупным ускорителем частиц в мире. Он останется таковым ещё долго, но в CERN уже продвигают планы по созданию намного более крупного коллайдера.  создано DALL-E Изначально CERN обнародовал планы по созданию нового ус...

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

На каком процессоре смартфон Galaxy S24 лучше: Exynos 2400 или Snapdragon 8 Gen 3 Год назад казалось, что мы больше не увидим Samsung на Exynos, ведь корейская компания выпустила Galaxy S23 на Snapdragon 8 Gen 2 для всех рынков. Однако уже в 2024-м произошло возвращение фирменных процессоров. Теперь желающим заполучить флагман Samsung вновь придется стоя...

Такие ПК могут быть выходом в ситуации проблемных процессоров Core i9. Lenovo готовит настольный игровой ПК Legion Blade 7000K на мобильных CPU Core HX Компания Lenovо решила выйти на рынок MoTD (Mobile on Desktop). Речь о настольных компьютерах, основанных на мобильных процессорах. Этот сегмент в последнее время активно развивается, так как мобильные CPU стали намного более мощными, а старшие линейки у AMD и Intel тех...

Не только Toyota: скандал с краш-тестами Daihatsu коснулся автомобилей Mazda и Subaru По сообщению японского издания Yomiuri, компании Mazda и Subaru приостановили заказы на производство своих автомобилей на заводах Daihatsu. Как мы уже писали, было обнаружено, что автопроизводитель Daihatsu сфальсифицировал результаты краш-тестов для получения государст...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Xiaomi выпустила самый дешевый смартфон на Snapdragon 8 Gen 3. Он даже круче Xiaomi 14! 29 ноября в Китае состоялась презентация Redmi K70 и K70 Pro — двух самых крутых смартфонов суббренда Xiaomi, которые уже сейчас называют убийцами флагманов. Секрет успеха прост: устанавливаем топовое железо, экономим на неочевидных для обывателя вещах, после чего получаем ...

Nintendo Switch 2 выйдет в марте 2025 года Согласно новому информационному отчёту, опубликованному японским изданием Nikkei, следующая консоль японской компании Nintendo, которая, как предполагалось, должна была появиться на рынке в этом году, недавно была отложена на 2025 год и выйдет примерно через год, то есть при...

Asus, это что, экономия на вентиляторах? Компания представила первые на рынке Radeon RX 7900 XT/XTX всего с двумя вентиляторами в системе охлаждения Компания Asus выпустила первые на рынке видеокарты Radeon RX 7900 XT и XTX с кулером, имеющим всего два вентилятора.  Модели Dual OC Edition на фоне остальных топовых адаптеров выглядят достаточно необычно. Можно вспомнить GeForce RTX 4080 с кулером Noctua, но в д...

Австралийская космическая компания Gilmour Space привлекла $55 000 000 и готовится к серии запусков Австралийская компания Gilmour Space, специализирующаяся на разработке ракетных технологий, привлекла $55 000 000 в рамках раунда финансирования. Эти средства будут направлены на поддержку первых четырёх попыток запуска ракеты на орбиту. Благодаря этому вложению оценка ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Урезанная GeForce RTX 3050 6GB с 96-битной шиной выйдет в январе. Nvidia может создать её, чтобы освободить место для RTX 4050 Новая версия GeForce RTX 3050 с 6 ГБ памяти может стоить всего 180-190 долларов.  Ресурс Benchlife говорит, что новинка может стоить менее 190 долларов, то есть вплоть до 189 долларов. Намного дешевле она явно не будет, но ориентир близко к максимальной цене являе...

Ни в Blender, ни в V-Ray новенький Core i9-14900K не может опередить «старый» Ryzen 9 7950X В Сеть попали результаты тестирования процессора Core i9-14900K в задачах, которые действительно умеют загружать современные многоядерные процессоры.  В Blender новинка набирает почти 560 баллов, что на 6% больше, чем у Core i9-13900KS. Это хорошо, если учесть, чт...

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

Honor празднует победу. Компания вырвалась в лидеры на китайском рынке складных смартфонов Компания Honor продолжает набирать обороты на глобальном и домашнем рынках, а заодно демонстрировать успехи на рынке складных смартфонов. Согласно свежим данным аналитиков Omdia, по итогам текущего года Honor произведёт более 1 млн таких устройств.  Кроме прочего,...

Похоже, Xiaomi знает, как сделать Snapdragon 8 Gen 3 еще лучше Xiaomi 14 и Xiaomi 14 Pro — первые флагманы, выпущенные на базе нового процессора Qualcomm Snapdragon 8 Gen 3. Это позволяет отнести эти устройства к числу лучших телефонов на базе Android, при условии, что в ближайшие недели они поступят в международную продажу. Одна...

Это последний ноутбук Huawei на процессорах Intel? MateBook X Pro вышел на глобальный рынок Ноутбук Huawei MateBook X Pro выходит на глобальный рынок. Это устройство стало одним из тех, которые были представлены вчера. Ещё одним были умные часы Watch Fit 3 в дизайне Apple Watch.  Цена MateBook X Pro на глобальном рынке пока не объявлена. В Китае за новин...

Смартфон Xiaomi 15 Pro получит перископический объектив и изогнутый экран с разрешением 2K Надёжный инсайдер Digital Chat Station раскрыл некоторые подробности о характеристиках грядущего флагманского смартфона Xiaomi 15 Pro. Источник заявил, что ему удалось изучить ранний прототип устройства. Сообщается, что аппарат получит экран с небольшим изгибом и разреш...

Samsung много лет сопротивлялась, но сдалась. Galaxy A55 первым среди смартфонов компании получил поддержку бесшовных обновлений Функция бесшовных или плавных обновлений, известная также, как Seamless Updates и A/B Updates, была добавлена в Android ещё несколько лет назад. Samsung была одной из компаний, кто не стал поддерживать эту функцию до последнего. Однако теперь компания сдалась и добавила...

Windows 12 выйдет в следующем году? Intel говорит, что ожидает рост доходов из-за выхода новой версии операционной системы Компания Intel снова указывает на выход новой версии Windows в следующем году. Об обновлении на конференции Citi заявил финансовый директор Intel Дэвид Зинснер, отметив, что на этом фоне компания ожидает роста своих доходов.  создано DALL-E в Bing В данном случае ...

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

Китайская Tencent накопила такое количество ускорителей Nvidia, что ей хватит на пару поколений вперёд Американское правительство не ленится расширять санкции, запрещая поставлять в Китай всё новые ускорители Nvidia. Под запрет попали ещё три модели Санкции США, которые запрещают поставку в Китай новейших (и не самых новейших) ускорителей Nvidia, похоже, беспокоят не вс...

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Китайский гигант по производству аккумуляторов CATL представил революционную литий-железо-фосфатную батарею с запасом хода более 1000 км Китайский гигант по производству аккумуляторов Contemporary Amperex Technology Co (CATL) произвёл фурор на 28 Пекинском автосалоне, представив новую литий-железо-фосфатную батарею (LFP) под названием Shenxing Plus. Эта разработка обещает обеспечить запас хода более 1000...

SpaceX представила новый скафандр EVA, который уже в этом году будет использован для уникального выхода астронавтов в открытый космос Компания SpaceX представила новый скафандр для астронавтов. Называется он Extravehicular Activity (EVA) Suit.  Это не какая-то разработка будущего. Компания говорит, что скафандры будут опробованы в рамках миссии Polaris Dawn, которая ожидается позже в этом году. ...

В России спустя два года возобновляется производство современных европейских кроссоверов Citroen C5 Aircross и Peugeot 4008 В России возобновится выпуск современных европейских кроссоверов после двухлетнего перерыва. Завод «ПСМА Рус» в Калужской области планирует собирать соплатформенные модели французских брендов Peugeot и Citroen, используя модульную платформу EMP2 (Efficient M...

5 крутых смартфонов Xiaomi, которые сложно достать в России, но стоит купить на AliExpress Компания Xiaomi по-разному относится к потребителям из Поднебесной и других стран. На родном китайском рынке она выпускает больше смартфонов, а жители остальных стран мира получают лишь крохи с барского стола. К тому же новинки в КНР появляются на несколько месяцев раньше и...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Samsung Galaxy S20 и Note20 лишились ежемесячных обновлений, а Galaxy Z Flip подошёл к завершению жизни Компания Samsung Electronics традиционно обновила страничку в разделе поддержки, посвящённую циклу выпуску обновлений для своих смартфонов. На этот раз значимые изменения коснулись таких моделей, как первое поколение раскладушки Galaxy Z Flip, а также всех серий Galaxy ...

Как правильно выбрать смартфон на процессоре Snapdragon и не прогадать После прочтения текста о главном обмане процессоров MediaTek у многих людей могло возникнуть впечатление, будто в чипах MTK сложно разобраться, ведь тайваньская фирма то и дело перевыпускает старое железо. Однако путаница возникает и при беглом взгляде на процессоры Snapdra...

Крупнейшие технологические прорывы 2023 года, которые изменят нашу жизнь В 2023 году было совершено несколько серьезных технологических прорывов, которые изменят нашу жизнь Мир технологий постоянно развивается, и учеными регулярно свершаются определенные достижения, но часто они остаются незамеченными. Лишь со временем мы обнаруживаем, что наша ж...

Всё о смартфонах Galaxy S24 в России: дата выхода, цена, Samsung Pay, Exynos и Snapdragon 17 января Samsung представила смартфоны Galaxy S24, включая модели с приставками «Plus» и «Ultra». Хотя компания покинула российский рынок в 2022 году, ее новинки, завезенные по схеме параллельного импорта, будут продаваться в нашей стране. В связи с этим возникает много во...

Процессор Snapdragon X Elite оказался мощнее решения от Apple Десктопный процессор для ноутбуков Snapdragon X Elite был анонсирован в конце октября, и вскоре после этого компания Apple ответила потенциальным конкурентам своими первыми процессорами на технологическом процессе в 3 нм — M3, M3 Pro и M3 Max. Вскоре после этого представител...

Redmi K70 получит топовый экран, который опередил отрасль на целый год Директор по новой продукции Xiaomi Ван Тен Томас (Wang Teng Thomas) официально подтвердил, что Redmi вместе со своими партнерами определила и возглавила выпуск китайских флагманских экранов разрешением 2K и 1,5K. Он добавил, что эти экраны опередили отрасль на один год,...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Последний шанс для главы Samsung перед увольнением? Посмотреть презентацию Galaxy S24 можно здесь Сегодня компания Samsung проведет свое традиционное мероприятие Galaxy Unpacked, которое будет посвящено презентации новых смартфонов и других продуктов. В данной заметке предлагаем вместе подготовиться к этому событию и проследить за ним в прямом эфире, который ст...

Intel может создать процессор с 12 большими ядрами для LGA1700. Появились новые данные о CPU Bartlett Lake Похоже, Intel действительно выпустит процессоры Bartlett Lake в исполнении LGA1700 в текущем году, продлив таким образом жизнь платформе. На это указывают новые данные, правда, есть нюанс.  Ресурс Benchlife говорит, что эти CPU в первую очередь будут ориентированы...

Xiaomi обсуждает с Chery совместный выпуск машин Компания Xiaomi, которая решила начать выпуск своих автомобилей, обсуждает этот вопрос с несколькими автопроизводителями, включая Brilliance и Chery, о чем Bloomberg сообщили осведомленные источники. Ранее, в августе этого года, Xiaomi также провела переговоры с Beijing...

AMD снова может запутать обычных пользователей названиями своих CPU. На глобальный рынок выйдут Ryzen 8000F, которые лишены iGPU и уступают Ryzen 7000 Настольные процессоры AMD Ryzen 7 8700F и Ryzen 5 8400F дебютировали в Китае совсем недавно, но вскоре должны появиться и на глобальном рынке.  Новинки уже получили каталожные номера: 100-100001590BOX и 100-100001591BOX. То есть они будут продаваться именно в розн...

Забудьте об Intel и AMD: для работы новой функции AI Explorer в Windows 24H2 нужна будет только SoC Snapdragon X Elite Уже в ближайшие месяцы на рынки выйдут первые ноутбуки с SoC Snapdragon X Elite, а заодно и с Windows 11 24H2. И похоже, что покупатели таких мобильных ПК получат преимущество над остальными, так как одна из новых важных функций Windows 11 будет работать только на SoC S...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

BYD уже опередила Hyundai, Ford, Chevrolet и других на мировом рынке По данным TrendForce, общий объем продаж автомобилей на 37 основных рынках в августе достиг 5,55 млн единиц. На фоне этого динамичного рынка в первую десятку брендов по продажам автомобилей за месяц вошли Toyota, Volkswagen, Honda, BYD, Hyundai, Ford, Chevrolet, Suzuki,...

Samsung может сделать раскладушки по-настоящему доступными уже в следующем году Компания Samsung уже довольно давно выпускает отличные складные телефоны. Даже когда мы еще не мечтали о том, что в нашу жизнь придут смартфоны, ее обычные кнопочные раскладушки уже были впереди всей индустрии. Но появились смартфоны, и им понадобилось около 10 лет, чтобы н...

LPDDR6 уже в этом году, но только на смартфонах с Android? Поддержку новой памяти может получить Snapdragon 8 Gen 4 Память LPDDR6 действительно может появиться в серийных устройствах уже в этом году. Сообщается, что Qualcomm может добавить поддержку новой памяти своей топовой SoC Snapdragon 8 Gen 4.  Официальных данных на этот счёт нет, но у компании вполне неплохой запас време...

Ryzen 9000 ближе, чем все думали? В Сеть попала рекламная брошюра, где упоминаются такие процессоры Процессоры Ryzen 8000G, как мы уже сообщали, могут появиться вместо Ryzen 7000G уже в конце текущего или в начале следующего года. Возможно, Ryzen 9000 тоже уже на подходе.  В Сеть попало фото рекламной брошюры Dell, где изображён игровой ПК Alienware, якобы оснащ...

Boeing Starliner готовится к первому пилотируемому полету на МКС После многолетних задержек и неудачных попыток, космический корабль Boeing Starliner готов отправить своих первых астронавтов на Международную космическую станцию. Этот важный шаг откроет новую эру для компании в освоении космического пространства.

Это непохоже на Apple, но компания продлила бесплатный доступ к службе Apple Emergency SOS для владельцев iPhone 14 ещё на год Выпуская смартфоны iPhone 14 в прошлом году, компания Apple первой в этом сегменте рынка наделила свои аппараты спутниковой связью. Тогда компания заявила, что покупатели новых iPhone смогут пользоваться этой услугой бесплатно целый год, но подробностей о платном вариан...

Samsung готовит уже третье большое обновление, чтобы побороть все недостатки камеры Galaxy S24 Ultra Известный инсайдер Ice Universe анонсировал очередное, уже третье по счету обновление для камеры Galaxy S24 Ultra. Оно должно решить проблемы, которые не были решены за счет первого и второго обновлений. Изображение: Samsung В частности, третий апдейт должен улучшить к...

Lada Vesta Sport выйдет в 2024 году. Она получит китайскую 6-ступенчатую «механику» (а в отдаленной перспективе – турбомотор на 180-190 л.с.) В своем обзоре Lada Vesta Sportline главный редактор издания «За рулем» Максим Кадаков рассказал и о полноценной Lada Vesta Sport. По его словам, в текущем году Vesta Sport уже не появится, самое раннее – первый квартал 2024 года. Lada Vesta Sport пол...

Индия выбирает SpaceX: Запуск крупного коммуникационного спутника GSAT-20 запланирован на ракете Falcon-9 Индийское космическое агентство ISRO собирается запустить свой крупный коммуникационный спутник с помощью ракеты SpaceX. Это первый раз, когда Индия будет использовать тяжёлую ракету Falcon-9, запуск которой состоится из Флориды.  ISRO и Департамент космических исс...

Такого AMD ещё не делала. Компания собирается выпустить серверные процессоры Epyc 4004 Raphael для потребительской платформы AM5 Похоже, компания AMD в ближайшее время может сделать платформу AM5 намного более привлекательной для энтузиастов. Сообщается, что для AM5 могут выйти серверные процессоры Epyc.  Линейка якобы будет называться Epyc 4004 Raphael. Такие процессоры ожидаемо не будут с...

Китайский процессор Zhaoxin KX-7000 протестировали в бенчмарках Китайский процессор Zhaoxin KX-7000, который был разработан локально в Китае для собственного рынка электроники, прошёл испытания в приличном наборе бенчмарков и тестового софта в стандартном формате и в сценариях с разгоном ядер, демонстрируя огромный прирост производительн...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Realme показывает, что лучше установить в смартфон перископный «телевик», чем 200-мегапиксельную основную камеру Компания Realme продолжает активно рекламировать свой выбор в пользу перископных камер.  Теперь компания создала отдельную страничку, где показывает, что перископный «телевик» лучше, чем кроп даже с 200-мегапиксельного датчика, а датчиков для смартфоно...

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Самый простой сервер Nvidia DGX GB200 Blackwell будет стоить 3 млн долларов. Массовое производство стартует во втором полугодии Nvidia уже представила ускорители Blackwell для ИИ, но на рынок они пока не поступили. Как сообщается, массовое производство серверов DGX GB200 стартует лишь когда-то во втором полугодии.  Успеют ли первые клиенты получить первые установки до конца текущего года, ...

Как использовать ChatGPT для разработки и учебы. Четыре сценария Привет, Хабр! В 2023 году искусственный интеллект (далее ИИ)  вышел на тот уровень популярности, когда о нем не говорил только ленивый. Все больше компаний активно начали обсуждать свои разработки в этой области, а такие модели как Midjourney, ChatGPT, Gemini (ex-Bard),...

Dimensity 9300, LPDDR5T, топовая камера Zeiss и рекордная производительность. Предзаказы на Vivo X100 уже идут, а производитель подтвердил ключевые характеристики Компания Vivo официально объявила, что серия Vivo X100 первой в мире получит чип обработки изображений собственной разработки V3 и флагманскую однокристальную систему Dimensity 9300. В MediaTek Dimensity 9300 используются высокопроизводительные ядра: 4 Cortex-X4 и 4 Cor...

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Apple выпустила MacBook Air M3 с 24 ГБ ОЗУ и 2 ТБ памяти. Чем он отличается от MacBook Air M2 Сегодня, 4 марта, Apple показала новые MacBook Air на процессоре M3. Причем компания из Купертино обновила сразу обе модели своих самых легких ноутбуков — на 13 и 15 дюймов. При том что последняя вышла лишь летом 2023 и не пробыла на рынке даже года, купертиновцы смел...

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)