Социальные сети Рунета
Вторник, 21 мая 2024

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Ростех усовершенствовал производство оптического волокна Эксперты холдинга «Швабе» Госкорпорации Ростех модернизировали оборудование для производства оптического волокна. Это позволило создать образцы с усовершенствованными характеристиками, которые обеспечивают высокое качество передачи сигнала.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Китай вложил 40 миллиардов долларов в импорт оборудования для производства чипов в 2023 году После заявлений о скорых санкциях со стороны США, китайские компании начали активно скупать всё новейшее оборудование

Google вложит 2 миллиарда долларов в дата-центр в Индиане Google объявила о планах инвестировать 2 миллиарда долларов в строительство дата-центра в северо-восточной части Индианы. Новый объект станет частью сети дата-центров Google, расположенных по всему миру, и будет поддерживать работу таких сервисов, как Google Cloud, Gmail, Se...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Microsoft вложит $2,9 млрд в новые дата-центры в Японии на фоне AI-бума Американская корпорация Microsoft намерена вложить порядка $2,9 млрд в развитие новейших центров обработки данных в восточной и западной Японии. Сначала деньги в размере 1,5 млрд иен ($9,9 млн) будут инвестированы в проект Microsoft Research Asia, который позднее выделит эти...

Сбербанк начал производство собственных серверов для нужд своих дата-центров Собственное производство серверного оборудования позволит банку повысить технологический суверенитет и сократить издержки на оптимизацию.

Нидерланды начали мешать ASML поставлять оборудование в Китай Нидерландская компания ASML, крупнейший производитель литографического оборудования, необходимого в том числе для производства интегральных схем, заявила о приостановке экспорта части оборудования в Китай. Это связано с санкциями США.

В России запустят производство оборудования 5G Одна из дочерних компаний МТС начнёт производство оборудования для связи нового поколения

МТС планирует выпускать до 20 тысяч базовых станций в год Компания «Иртея» (дочерняя структура оператора МТС) планирует с 2025 года выпускать по 10-20 тысяч базовых станций ежегодно. Об этом рассказал президент МТС Вячеслав Николаев на форуме «Телеком 2024». По его словам, в 2024 году МТС планирует собр...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Samsung намерена начать массовое производство чипов на стеклянной подложке к 2026 году Компания Samsung решила перейти к следующему поколению упаковочного материала, начав работы по созданию стеклянных подложек к 2026 году

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

В России начали массово производить серверное оборудование В Рязанской области открылся завод по производству оборудования для серверов

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

В России запустят производство оборудования для детской хирургии В России начнут производить оборудование для операций на черепе и наборы для спинальных вмешательств, используемые в детской хирургии

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

В Томске начнут производить станции связи 4G и 5G Российская компания «Иртея» запустит производство оборудования для связи форматов 4G и 5G

Proteqta провела внедрение усовершенствованных «умных касок» в АО «Хиагда» АО «Хиагда» внедрило новые «умные каски» российского производства при помощи команды Proteqta (ГК Softline). В усовершенствованной версии появилась возможность отслеживания сотрудников внутри помещений.

TSMC намерена начать производство новых чипов с потреблением в тысячи ватт Подложки новых графических и центральных процессоров будут на порядок больше сегодняшних моделей.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Росэнергоатом запустит самый большой дата-центр уровня Tier IV в России «ЦОД «Москва-2» станет самым большим дата-центром в России и первым объектом в сети Росатома с таким высоким уровнем надежности. При его создании используется современное и надежное оборудование всех систем жизнеобеспечения. Открытие такого дата-центра поз...

Росэнергоатом запустит самый большой дата-центр уровня Tier IV в России «ЦОД «Москва-2» станет самым большим дата-центром в России и первым объектом в сети Росатома с таким высоким уровнем надежности. При его создании используется современное и надежное оборудование всех систем жизнеобеспечения. Открытие такого дата-центра поз...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

В Москве открыли один из самых мощных дата-центров России В столице России состоялось торжественное открытие нового дата-центра «Москва-2», который является одним из самых современных и надежных в стране.

TSMC якобы не торопится с внедрением оборудования для High-NA EUV Экстремальное ультрафиолетовое излучение (EUV) с высокой числовой апертурой (High-NA). Компания Intel Foundry Services (IFS) станет одним из первых производителей полупроводников, которые начнут работать на новейшем оборудовании ASML

Asus и Acer начнут развивать производство в Индии из-за ужесточения импорта Тайваньские компании Acer и Asustek Computer намерены всерьёз «застолбиться» в Индии и наладить полноценные производственные цепочки. Это связано, среди прочего, с тем, что Индия ужесточает условия импорта ради развития внутренней промышленности и рынка.

Под Владимиром появился центр для импортозамещения деталей и оборудования Российская компания «Аби» запустила инжиниринговый центр во Владимирской области для производства деталей и оборудования, замещающих импортные аналоги. Создание новой структуры поддерживается нацпроектом «Наука и университеты» и предполагает инвестиции в размере 187 миллионо...

Samsung намерена начать производство своего 3-нм чипсета Exynos во второй половине 2024 года О выпуске Samsung Galaxy S25, который ожидается в 2025 году, говорят уже давно. Наконец, новый чипсет Exynos, по слухам, поступит в массовое производство во второй половине 2024 года.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Peloton заключит эксклюзивное партнерство с TikTok Peloton Interactive заключает партнерство с TikTok для представления своих тренировок на новой платформе. Компания меняет стратегию с производства оборудования на развитие программного обеспечения из-за снижения спроса на фитнес-оборудование после пандемии.

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Siri получит "проактивный интеллект" на базе ИИ от Apple Согласно последним отчетам, Apple намерена значительно усовершенствовать своего виртуального помощника Siri на основе технологий искусственного интеллекта. Компания также готовит к выпуску новые инструменты редактирования, использующие ИИ. Подробности будут раскрыты на ежего...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

В Ирландии начнёт работу 7-нм процессорный завод Intel Корпорация Intel намерена начать массовое производство полупроводниковых изделий по технологии Intel 4 в Лейкслипе (Ирландия). Ранее произошло переименование 7-нм технологии в Intel 4, что было направлено на приведение ее в соответствие с отраслевыми стандартами и, по-видимо...

Microsoft и OpenAI строят дата-центр за 100 миллиардов долларов: "Звездные врата" ИИ. Захватывающие планы Microsoft и OpenAI по созданию крупнейшего в США дата-центра стоимостью до 100 миллиардов долларов, который станет домом для суперкомпьютера на основе передовых ИИ-чипов.

Российские ученые усовершенствовали многокубитные операции для квантовых процессоров Ученые Университета МИСИС и Российского квантового центра представили новый метод реализации быстрой двухкубитной операции на сверхпроводниковых кубитах-флаксониумах. Эта работа может помочь создавать квантовые процессоры, которые устойчивы к ошибкам.

Подводные дата-центры и хранение информации в QR-кодах: новшества индустрии ЦОД По данным TAdviser, в России зафиксирован небывалый «бум» строительства ЦОДов. Схожая картина наблюдается и в остальных странах: по итогам 2022 года общий объем данных в мире составил 97 зеттабайт, в 2023 году — 110-120 зеттабайт, а к 2025 году — прогноз вплоть до 180-ти. Вс...

В России намерены построить новый завод частотных преобразователей Вячеслав Доценко: Сейчас готовится дилерское соглашение с китайской компанией, в ближайшее время будут поставки оборудования — и мы начнём локализацию производства. Это электрические частотные преобразователи широкого спектра применения: для управления электродвигателями нас...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

День 638: Минцифры предложило ввести льготные кредиты для операторов дата-центров на закупку российского оборудования Собираем новости, события и мнения о рынках, банках и реакциях компаний.

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Новый дата-центр Google питается от геотермальных источников В качестве нового шага на пути к безуглеродному будущему компания Google запустила передовой проект по использованию геотермальной энергии в Неваде для питания своих центров обработки данных.

Виртуальный день открытых дверей в дата-центре TELHosting Здравствуйте, Хабрахабровчане! День своего Рождения на хабре мы решили отметить постом о повседневной работе нашего Центра Обработки Данных. Театр начинается с вешалки, а дата-центр… с бахил. Каждое утро для сотрудников дата-центра TELHosting начинается с переобувания в до...

«Яндекс 360» сможет поддерживать видеовстречи на тысячу человек В «Яндекс 360» намерены усовершенствовать сервис «Телемост», переведя его на новую технологическую платформу. Платформа будет разработана и, как ожидается, будет полностью введена в эксплуатацию к середине 2024 года.

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

США разрешат Samsung поставлять в Китай оборудование для производства чипов Министерство торговли США продлит разрешение на ввоз в Китай оборудования для производства чипов памяти компаниями Samsung Electronics и SK Hynix. Этим вендорам не понадобится получать какие-либо разрешительные документы.

Компания «Лазерные системы» займется 3D-печатью деталей двигателей и газовых турбин Санкт-Петербургский разработчик и производитель промышленных 3D-принтеров «Лазерные системы» откроет центр аддитивных технологий на базе собственного производства на площадке «Нойдорф» особой экономической зоны в Стрельне. Предприятие планирует наладить аддитивное производст...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Оборудование Ростеха поможет организовать связь спасателям на Чукотке Холдинг «Росэлектроника» Госкорпорации Ростех поставил телекоммуникационное оборудование для нового арктического комплексного аварийно-спасательного центра МЧС России. Он откроется на Чукотке в самом северном городе России – Певеке.

The Information: Microsoft и OpenAl создадут дата-центр с ИИ за $100 млрд Microsoft и OpenAl обсуждают проект дата-центра Stargate, в рамках которого будет работать суперкомпьютер со специализированными серверными чипами для поддержки искусственного интеллекта, сообщил The Information со ссылкой на три источника. По его данным, проект может обойти...

В России планируют начать производство базовых станций 5G в 2024 году Этим займется принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея»

Из-за торговых ограничений США крупные компании переносят производство чипов из Азии Компании Amazon, Google, Microsoft и другие рассматривают Мексику в качестве нового центра производства серверов для искусственного интеллекта.

Возможности ИИ в iPhone 16 Pro будут увеличены с помощью усовершенствованного чипа A18 Pro Apple намерена улучшить производительность предстоящих смартфонов iPhone 16 для работы с искусственным интеллектом с помощью чипа A18.

Компания NVIDIA намерена прекратить производство видеокарт GeForce GTX 16 Конец эпохи GeForce GTX: видеокарты 16-серии снимаются с производства

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Amazon покупает дата-центр при АЭС за $650 млн — энергия нужна для вычислений и ИИ Гигант электронной коммерции Amazon приобрел атомный центр обработки данных Cumulus Data. Компании нужна «недорогая энергия для высокопроизводительных вычислений или искусственного интеллекта».

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Немецкий концерн Rheinmetall и Литва договариваются о производстве 155-мм артиллерийских снарядов Литва намерена подписать соглашения с компанией Rheinmetall, считающейся одним из крупнейших производителей военной техники и вооружения в Германии и Европе, о создании на ее территории завода по производству 155-мм артиллерийских боеприпасов.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

За Huawei P70 уже начинает выстраиваться физическая очередь в торговом центре Huawei. А дату начала продаж до сих пор не объявили Различные СМИ обратились за комментариями в авторизованные магазины Huawei в Пекине, Шанхае, Шэньчжэне, Гуанчжоу и других городах по поводу слепых предзаказов Huawei P70. Магазины подтвердили, что они принимают предварительные заказы, сохраняя только данные покупателей,...

В РФ запустят производство оборудования 5G Производством оборудования для внедрения мобильных сетей пятого поколения в России займется дочерняя компания МТС. 

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Seagate и Sony будут сотрудничать для наращивания производства накопителей больших объемов Развитие технологий искусственного интеллекта вынуждает центры обработки данных обновлять своё оборудование всё быстрее

Ил-76 доставит в Арктику передвижной дата-центр для научных целей В рамках научной экспедиции компания RUVDS собирается развернуть передвижной центр обработки данных в арктическом лагере «Барнео».

Ampere выпустит 256-ядерный процессор для искусственного интеллекта Сообщается, что в разработке новых дата-центров для ИИ компания сотрудничает с Qualcomm

Rocket Lab переоборудовала бывшуб штаб-квартира Virgin Orbit в центр разработки двигателей 4 октября состоялась церемония открытия центра разработки двигателей Rocket Lab. В здании площадью 13 400 квадратных метров будут производить двигатели Rutherford, используемые на ракете Electron, а также более крупные двигатели Archimedes для ракеты Neutron. Ранее здан...

Square Enix сосредоточит своё внимание на мультиплатформе Новый подход должен помочь компании с долгосрочным ростом в перспективе. Чтобы исправить ситуацию, Square Enix намерена взять курс на мультиплатформенную стратегию, предполагающую выпуск ААА-игр на ПК, консолях Sony, Nintendo и Microsoft. Судя по всему, компания в дальнейшем...

Samsung начнёт устанавливать Micro LED-дисплеи в смарт-часах и подобных гаджетах Стало известно, что Samsung готовится использовать свою новую технологию, Micro LED-дисплеи, на телевизорах не только с распространённой потребительской диагональю, но и на 114". При этом компания намерена применить Micro LED и в «умных» часах.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

Apple планирует усовершенствовать AR-шлем, добавив персональные линзы для пользователей Apple намерена выпустить AR-шлем с индивидуальными линзами для коррекции зрения, которые будут установлены на заводе.

Арктический российский дата-центр спустят с Ил-76 на дрейфующую льдину  Команда провайдера RuVDS поделилась интересной новостью. Сейчас в компании работают над экспериментом  по развертыванию серверного оборудования в Арктике. Как сообщили в пресс-службе, серверное оборудование будет сброшено с борта Ил-76. Сгенерировано нейросетью Da...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Кейс: медицинский центр «УГМК-Здоровье» оснащен совместным решением Qbic и iRidi Оснащение екатеринбургского медицинского центра "УГМК-Здоровье" — наш новый интересный кейс по развертыванию систем автоматизации на базе оборудования Qbic. Читать далее

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Natron Energy из США начала серийное производство натрий-ионных батарей — они быстрее заряжаются, долговечны и полностью безопасны Первые партии поставят в дата-центры для ИИ, но перспективы использования новинок самые широкие.

Natron Energy из США начала серийное производство натрий-ионных батарей — они быстрее заряжаются, долговечнее в 5 раз и полностью безопасны Первые партии поставят в дата-центры для ИИ, но перспективы использования новинок самые широкие.

NVIDIA намерена продолжить производство GeForce RTX 3060 NVIDIA не собирается снимать с производства более старый GPU

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

3D-сканер Shining 3D FreeScan Combo повысил эффективность ремонта гидротурбин С момента ввода в эксплуатацию персонал главной эквадорской гидроэлектростанции Coca Codo Sinclair озаботился поиском эффективных решений по диагностике и ремонту гидротурбин. Недавно сотрудники предприятия начали проводить эксперименты по обследованию оборудования с помощью...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Tesla планирует построить новый завод по производству аккумуляторов для электромобилей Оборудование для предприятия будет закуплено у китайской компании Contemporary Amperex Technology Co. Ltd.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Как хранить данные в облаке? Краткий экскурс по технологиям Компаниям нужно где-то хранить большое количество данных, но создавать собственные дата-центры — задача не из легких. На помощь приходит облачное хранилище у провайдеров. Он помогает сэкономить на собственной инфраструктуре и надежно хранить данные разных форматов. Достаточ...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Ученые МГУ усовершенствовали состав бетонных конструкций В Фонде поддержки проектов Национальной технологической инициативы (НТИ) сообщили, что ученые из МГТУ им. Баумана и компании «Гален» сделали шаг вперед, усовершенствовав состав бетонных конструкций. Теперь используются композитные материалы, которые делают здания и мосты бол...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Архангельск импортозаместил оборудование для лесопромышленности Губернатор Александр Цыбульский сообщил о новых достижениях в области производства лесозаготовительного оборудования в Архангельской области. На Международной выставке-форуме «Россия» в Москве он представил три новые отечественные головки харвестеров, созданные с целью сниже...

Опубликован предварительный список участников выставок Rosmould & 3D-TECH и Rosplast 2024 Отраслевые выставки Rosmould & 3D-TECH и Rosplast в сфере производства пресс-форм и штампов, аддитивных технологий, оборудования и материалов для переработки и производства изделий из пластмасс пройдут 18-20 июня 2024 года в красногорском выставочном центре «Крокус Экспо».

Стартовало производство ноутбука Aquarius Cmp NE355, совместимого с российскими ОС Российский разработчик компьютерного оборудования «Аквариус» представил ноутбук Aquarius Cmp NE355. Его особенностью является совместимость с основными отечественными операционными системами: Astra Linux, «Альт», «Ред ОС» и «Рос...

Китайская компания поможет в производстве Aurus в Санкт-Петербурге Китайская компания присоединится к производству автомобилей Aurus на заводе Toyota в Санкт-Петербурге, возможно, в партнерстве с FAW.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

ИИ в Photoshop первым научили рисовать векторные изображения Adobe намерена усовершенствовать свой генеративный искусственный интеллект Firefly, представив три новые модели и более 100 функций и возможностей. Обновления, анонсированные на мероприятии Adobe Max 2023, включают модель Firefly Image 2, обещающую более высокое качество ген...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Геотермальная энергия и Google: первый серьёзный проект по снабжению дата-центров тепловой энергией Земли Использование тепловой энергии недр Земли — идея не новая, она реализована во многих странах, включая Исландию, Новую Зеландию, Италию, Россию, Францию, Мексику, Никарагуа, Китай, Японию и некоторые другие государства. В мире работает несколько сотен геотермальных энергоблок...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Китай начинает строительство подводного дата-центра с производительностью, равной 6 млн. ПК Центры обработки данных находят убежище на дне океана.

В WhatsApp появились фильтры для чатов Команда популярного мессенджера WhatsApp объявила о запуске новой полезной функции. Пользователям стали доступны фильтры для чатов, которая призвана ускорить поиски нужного сообщения.  Сгенерировано нейросетью Dall-E В WhatsApp добавили три фильтра над списком чат...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

OM System OM-1 II обещает усовершенствованную фотографию с новыми вычислительными возможностями OM System OM-1 II, новый флагман в линейке камер OM System, обещает принести усовершенствования в вычислительной фотографии, включая улучшенную стабилизацию изображения, расширенные возможности автофокуса и поддержку 14-битного RAW. Ожидаемая дата выпуска - 30 января 2024 го...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

В России начали производство электрокроссовера Evolute i-Sky Компания «Моторинвест» объявила о старте серийного производства на заводе в Липецке электрического кроссовера Evolute i-Sky

В Свердловской области построят завод по производству микропроцессоров На Урале планируется возведение нового завода компании «Мультиклет» для производства мощных микропроцессоров RISC-V, что поможет удовлетворить потребности предприятий в сфере микроэлектроники. Этот проект считается важным для достижения технологического суверенитета России.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Следующий дешёвый электрокар Tesla не придётся ждать так долго, как Cybertruck Утверждается, что компания намерена начать его продажи уже в следующем году

Правительство Китая активно поддерживает и субсидирует Huawei, делая из компании основного игрока полупроводникового рынка Ресурс Bloomberg опубликовал большую статью, рассказывающую о том, как правительство Китая различными способами помогает Huawei не только оставаться на плаву под санкциями США, но и активно развиваться.  Фото: TechInsights и Bloomberg reporting К примеру, жур...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Запуск космического корабля Cargo Dragon к МКС с продовольствием и оборудованием отложили Запуск грузового корабля Cargo Dragon к Международной космической станции (МКС) отложили, о чем сообщило Национальное управление США по аэронавтике и исследованию космического пространства (NASA). «NASA и SpaceX теперь планируют запуск не ранее 22:01 по времени Во...

DDoS, китайские ботнеты и клиенты с особыми потребностями. Будни ЦОДов 3data Дата-центр — заманчивая цель для кибератак и непростой объект для защиты. Мы решили выяснить, как обеспечивается бесперебойная работа ЦОДов руководителя отдела информационной безопасности 3data Павла Черных, и публикуем его рассказ.Из него вы узнаете, как выглядят три кита б...

Microsoft намерена снизить зависимость от Nvidia в производстве ИИ-серверов Глава Microsoft Сатья Наделла обратился к гендиректору Fungible Inc., стартапу, который корпорация не так давно приобрела, с просьбой усилить производство сетевых карт для повышения эффективности серверного чипа Maia AI.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Wildberries начинает выплату компенсаций за сгоревший товар. Компания оперативно откроет новый центр в Санкт-Петербурге Wildberries в сжатые сроки откроет в Санкт-Петербурге новый сортировочный центр, который позволит снизить нагрузку с действующих площадей после пожара на складе в Шушарах. В течение двух недель заработают новые площади сортировочного центра в Санкт-Петербурге. Это помож...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Титановая ручка Bullet Ant 2.0: три инструмента на все случаи жизни Специализирующаяся на производстве алмазного инструмента китайская компания MEtool Diamond Tools представила на Kickstarter усовершенствованную версию многофункциональной ручки Bullet — Bullet Ant 2.0, которая уже собрала более $10 тысяч инвестиций.

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Гарда обновляет DLP В новой версии доступен поиск документов с печатями, усовершенствована блокировка передачи данных по Bluetooth, контролируется передача файлов по протоколам FTP/FTPS (FTP+SSL), усовершенствованы политики контроля, улучшен экспорт HTML.

Представлена первая российская базовая станция операторского уровня стандарта GSM/LTE Представлен первый произведенный в России образец базовой станции операторского уровня мобильной связи отечественного производителя телеком-оборудования ООО «Булат» (дочерняя компания «Ростелекома»). Производство опытной партии запустили в апреле...

Как мы переносили базу Clickhouse между географически удаленными дата-центрами В конце прошлого года мы писали о сложном переезде нашего собственного сервиса в новый дата-центр в Детройте. Среди прочих задач мы переносили Clickhouse. Напомню, что речь идет о нагруженном сервисе, который обслуживает десятки серверов, принимающих сотни тысяч запросов с н...

Тольяттинская компания запустит производство филаментов из композиционных материалов Резидент технопарка «Жигулевская долина» 3DVolk (ООО «3ДВолк Технологии») вошел в инновационный центр «Сколково» с проектом по разработке технологии производства высокотемпературного полимерного композита для 3D-печати.

На выставке «Металлообработка-2024» демонстрируются разработки резидентов центра «Сколково» На коллективном стенде представлены расходные материалы, 3D-принтеры, 3D-сканеры и другое оборудование компаний REC, «Станкорус», «Эворус», «Вектор», «Металл Клинер», «Онсинт», F2 Innovations, «Воплощение», RangeVision и Scanform.

Tesla создаст в Китае дата-центр для обучения своего автопилота Tesla планирует построить в Китае собственный центр обработки данных (ЦОД) для обучения своего автопилота, чтобы разрабатывать полностью автономные электромобили.

Спрос на электроэнергию для дата-центров в ближайшее десятилетие может вырасти в несколько раз Всему виной стремительное развитие искусственного интеллекта, центров обработки данных и майнинга криптовалют

Дата-центр в Германии создан на 3D-принтере за 140 часов На печать компания Wave House ушло всего 140 часов.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Росатом передал SLM 3D-принтер Томскому политехническому университету На международном форуме «Атомэкспо-2024» состоялась передача Томскому политехническому университету (ТПУ) 3D-принтера RusMelt 300M производства Росатома. Оборудование работает по технологии селективного лазерного сплавления металлопорошковых композиций и будет эксплуатироват...

Nvidia расширяет производство чипов искусственного интеллекта в Японии Одновременно с этим Китай вкладывает значительные средства в превращение Гонконга в новый центр производства полупроводников.

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

В России начали обучать VR-специалистов в медицине В Сеченовском университете начнут обучать разработчиков медицинского VR-оборудования

«Калашников» до конца года приступит к производству усовершенствованного автомата АК-12 Усовершенствованного с учётом опыта СВО, естественно.

Panasonic начнёт выпуск усовершенствованных аккумуляторных ячеек типа 2170 в США в следующем году Либо даже в текущем.

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

Бывшая Facebook* решила бросить вызов Nvidia в производстве ИИ-ускорителей Meta* ставит перед собой амбициозные цели, планируя в этом году установить в своих дата-центрах новый, собственный ИИ-ускоритель. Этот чип второго поколения под кодовым названием «Artemis», призванный уменьшить зависимость от дорогостоящих графических процессоров Nvidia и ко...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Lada Iskra уже на конвейере, но до серийного производства ещё далеко Сегодня стала известна дата официальной премьеры Lada Iskra, и сегодня же кузов новой модели АвтоВАЗа прогнали по конвейеру по линии, на которой выпускают Lada Granta. Об этом сообщили инсайдеры паблика Avtograd News. «Сегодня был тестовый прогон кузова автомобил...

США намерены задавить санкциями тайные закупочные сети компании Huawei Под удар попадут китайские фирмы, которые помогли компании Huawai избежать санкций - но почему же тогда разрешен экспорт Intel?

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Google представила Gemini — «убийцу» ChatGPT Компания Google официально объявила о запуске собственной системы искусственного интеллекта Gemini. По заявлению генерального директора Google Сундара Пичаи (Sundar Pichai), который провёл презентацию новейшей разработки, Gemini 1.0 на данный момент является самой мощно...

Арктический НОЦ создает детали для целлюлозно-бумажной промышленности Научно-образовательный центр «Российская Арктика» на базе Северного (Арктического) федерального университета изучает способы аддитивного производства сложных комплектующих оборудования для агрессивных химических сред. Центр сотрудничает с предприятиями целлюлозно-бумажной пр...

Российская майнинг-компания обратила свой взор на Африку Российская компания BitCluster, занимающаяся майнингом биткоинов, намерена запустить в январе 2024 года центр обработки данных мощностью 120 мегаватт (МВт) в Эфиопии. Объект, который будет расположен в столице страны Аддис-Абебе, будет питаться от возобновляемой энергии...

Yadro планирует занять 10-15% российского рынка планшетов среднего уровня Компания Yadro планирует занять 10-15% российского рынка планшетов среднего ценового сегмента стоимостью около 40 тыс. рублей. Об этом рассказал генеральный директор компании Дмитрий Черкасов на презентации, посвящённой запуску операционной системы kvadraOS, которая про...

Российская компания начнёт производить базовые станции 2G/4G в апреле Российский производитель телекоммуникационного оборудования «Булат» (дочерняя компания Ростелекома) планирует с апреля текущего года начать среднее и мелкое производство отечественных базовых станций стандартов 2G/4G. Об этом сообщил гендиректор компании Але...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Apple планирует приобрести немецкий стартап Brighter AI для повышения приватности в Vision Pro Технологии Brighter AI помогут Apple усовершенствовать функции приватности в очках Vision Pro.

Samsung инвестирует $44 млрд в производство чипов в Техасе Компания Samsung Electronics намерена более чем удвоить свои инвестиции в производство полупроводников в Техасе. Если первоначальны инвестиции корейского производителя составляли $17 млрд, то теперь они вырастут до $44 млрд. Об этом сообщило издание Wall Street Journal. В…

Под брендом Intel появятся два отдельных производства для завоевания рынка чипов Генеральный директор Intel Пэт Гелсингер во время мероприятия Intel Foundry Direct Connect, проходившего в Сан-Хосе, штат Калифорния, заявил, что компания намерена стать вторым чипмейкером (производителем чипов) в мире.

Google теперь питает свой дата-центр геотермальной энергией Поисковый гигант совместно со стартапом Fervo отчитался об успешном вводе в эксплуатацию первой геотермальной электростанции в центре обработки данных Google в Неваде

Google инвестирует 1,1 миллиарда долларов в свою инфраструктуру в Финляндии Компания планирует расширить свои дата-центры в этом регионе

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

В ОЭЗ "Алабуга" появится производство ветроэнергетических установок В пресс-службе особой экономической зоны "Алабуга", рассматривается вопрос о размещении производства ветроэнергетических установок АО "Силовые машины" в этой территории. Организация намерена производить установки для использования ветровой энергии на данной территории, пишет...

Белорусские ученые усовершенствовали антистатическое напольное покрытие Специалисты уже начали проводить работу, по завершении проекта пройдет тестирование.

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

ИИ в iPhone улучшит уведомления, сделает Siri более «живой», добавит новые инструменты редактирования фото Ежегодная Всемирная конференция разработчиков Apple (WWDC) состоится 10 июня. Ожидается, что технологический гигант из Купертино анонсирует новые версии программного обеспечения для iPhone, iPad и других устройств. В центре внимания будет iOS 18, которая, как утверждает...

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Представлена Toyota Mirai 2024 Компания Toyota представила обновленный водородный седан Mirai для внутреннего рынка. В рамках модернизации автомобиль был улучшен усовершенствованным комплексом безопасности и улучшенной медиасистемой. Стоимость Mirai в Японии составляет от 7 261 000 до 8 610 000 ...

РТК-Сервис перенес ядро сети и каналы передачи данных магистрального узла «Ростелеком» на площадку дата-центра М9 ИТ-компания РТК-Сервис совместно с компанией «Ростелеком» провела работы по переносу центрального магистрального узла связи оператора из ранее арендуемого помещения на площадку дата-центра «М-9».

В Казани появится центр для внедрения и развития новых технологий Холдинг "Сибур" намерен начать строительство центра исследований и разработок (R&D) в Казани в начале 2024 года. Согласно генеральному директору "Казаньоргсинтез" и "СИБУР-РТ" Айрату Сафину, первый этап проекта запланирован на первый квартал 2024 года, а весь центр плани...

i3D планирует построить завод по производству 3D-принтеров в Татарстане Группа компаний i3D (НПО «3Д Интеграция») намеревается в течение восьми лет организовать производство аддитивных систем для 3D-печати металлами, керамикой и полимерами с годовыми объемами почти в триста 3D-принтеров. Помимо промышленного аддитивного оборудования планируется ...

Следующее поколение графических ускорителей Nvidia может потреблять до 1000 ватт Об этом сообщает компания Dell, специализирующаяся на производстве серверного оборудования

В России начнут выпуск новой коробки передач — на это выделено более полумиллиарда рублей «Соллерс» запустит производство 6-тиступенчатой механической коробки передач (МКПП) на индустриальной площадке Заволжского моторного завода. Для этого дочернее общество ПАО «Соллерс» — ООО «Соллерс Производство Трансмиссий» &mda...

«Превращаются в «кирпич» и стоят «мертвым колом»»: в России начали блокировать авто BMW, Mercedes и Renault и других марок, ввезённых по параллельному импорту Российские владельцы автомобилей ряда европейских марок начали жаловаться на блокировки. О ситуации рассказало издание «Известия» о ссылкой на источники в крупных дилерских центрах и станциях техобслуживания.  Проблема охватывает BMW, Mercedes и Renault...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Аэротакси Archer завершило первый этап летных испытаний Archer Aviation объявила о том, что ее воздушное такси Midnight успешно завершило первый этап летных испытаний. Компания намерена начать полеты с человеческим управлением в конце этого года и планирует полностью сертифицировать самолет и ввести его в коммерческую эксплуатаци...

Турция решила заняться производством космических кораблей Президент Турции, Реджеп Тайип Эрдоган, объявил о намерении страны начать производство собственных космических аппаратов. Он выразил надежду на запуск турецкой ракеты в ближайшие годы. «Отправка в космос нашего первого астронавта стала нашим первым шагом для подго...

Российские ученые усовершенствовали технологию производства печатных плат Ученые Пермского Политеха усовершенствовали технологию производства печатных плат, которые используют для электронного оборудования, пишет Наука.рф.

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

ТПУ открыл инжиниринговый центр комплексного развития энергетических систем В Передовой инженерной школе «Интеллектуальные энергетические системы» Томского политехнического университета открылся инжиниринговый центр комплексного развития энергетических систем и технологий распределенной энергетики. Для центра уже приобретено оборудование — комплект ...

Apple представила планы в области искусственного интеллекта В этом году Apple намерена представить новые функции искусственного интеллекта, а ее центры обработки данных будут оснащены процессорами, изготовленными на заказ, в рамках своих усилий по наделению своих устройств возможностями искусственного интеллекта.

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

Rokit Dr. INVIVO 4D6: 3D-биопринтер-инкубатор для восстановления костей и хрящей - кейс Top 3D Group В 2023 году компания Top 3D Group поставила и интегрировала в научно-исследовательские процессы 3D-биопринтер Rokit Dr. INVIVO 4D6 для Национального медицинского исследовательского центра имени академика Мешалкина.ВидеоверсияКейсНовосибирский Центр — это одно из крупнейших в...

В Москве появился новый завод медицинского оборудования Заместитель мэра Москвы по вопросам экономической политики и имущественно-земельных отношений Владимир Ефимов сообщил, что компания «Элта», которая является резидентом особой экономической зоны (ОЭЗ) «Технополис Москва», завершила строительство нового завода на производство ...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Canon предлагает клиентам оборудование нового типа для производства 5-нм чипов Оно пока не попадает под санкции США и Японии, направленные против Китая.

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

LG представила ультрасовременный портативный... кемпинговый прицеп В прошлом году LG Labs представила большой двухосный буксируемый автомобиль Bon Voyage. Полученные отзывы вдохновили компанию уменьшить и упростить оригинальный Bon Voyage до небольшого размера кемпингового прицепа. Усовершенствованный Bon Voyage 2024 года станет более...

Reuters: Китай наращивает темпы импортозамещения в сфере производства HBM Китай догоняет лидеров в производстве памяти HBM, активно закупая оборудование для локализации производства высокотехнологичной памяти и снижая зависимость от импорта ключевых компонентов.

Из Германии уходит известный производитель бензопил Stihl Focus: компания по производству бензопил Stihl, продукция которой известна по всему миру, стала немецкой легендой. Скоро исполнится 100 лет, а она по-прежнему полностью в руках семьи основателей. Однако из-за кризиса предприятие намерено уйти из Германии

Инсайды о новой линейке AirPods: другой дизайн, USB-C и шумоподавление По словам Марка Гурмана, Apple намерена существенно обновить линейку AirPods. Сообщается, что поставщики готовятся к массовому производству двух новых моделей AirPods, которое начнется в мае и будет ориентировано на осенний релиз вместе с iPhone 16.

«М.Видео» открывает сервисные зоны для ремонта техники, купленной в других магазинах В рамках пилотного проекта компания «М.Видео» начинает открывать сервисные зоны, которые будут предоставлять услуги по ремонту техники, даже если она была приобретена не в магазинах данной сети, а других ритейлеров. Представители сети объясняют появление так...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

На фоне растущего интереса к искусственному интеллекту акции TSMC начали стремительно расти Компания планирует построить новый завод для производства чипов в Японии

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Турция намерена создать свою собственную атомную подлодку, используя наработки «Росатома» В этом ей поможет строительство АЭС «Аккую».

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

К 2030 году дата-центры и ИИ будут потреблять до 25% всей электроэнергии Соединённых Штатов В настоящий момент, крупные компании потребляют лишь порядка 4%

Canon совершила революцию в мире полупроводников – что не так с технологией NIL-литографии Canon представила новое оборудование для производства полупроводников по 5-нм нормам

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Пользователи VK Мессенджера получили возможность начать диалог по номеру телефона и новые инструменты для кастомизации чатов Написать собеседнику в VK Мессенджере можно по номеру телефона. Для этого не обязательно добавлять человека в друзья ВКонтакте или в контакты телефонной книги. Разработчики усовершенствовали алгоритмы антиспама и внедрили ряд новых функций в чатах. Обновления доступны в прил...

ИИ улучшил искусственную поджелудочную железу для диабетиков Американские ученые из Центра технологий диабета Виргинского университета усовершенствовали искусственную поджелудочную железу для лечения диабета 1 типа. Новая система регулирует уровень сахара в крови с помощью искусственного интеллекта (ИИ). ИИ анализирует данные пациента...

Дата-центр в Гейдельберге — построено «самое большое печатное здание в Европе» В Гейдельберге построено Wavehouse, самое большое 3D-печатное здание в Европе! Здание считается первым в своем роде в промышленном секторе и секторе центров обработки данных в мире.

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Стандартные пароли помогли российским хакерам получить доступ к роутерам 1000 компаний из США Но якобы "доблестная" ФБР вовремя заметила глобальную угрозу безопасности и "отключила" от сети зараженное оборудование

Обслуживание серверов в других ЦОД — преимущества Обслуживание серверов на аутсорсинге — это возможность сэкономить время, затрачиваемое на решение рутинных задач, и посвятить его развитию бизнеса. Если доверить обслуживание оборудования в любом дата-центре сторонним специалистам — переживать за его доступность и бесперебой...

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

Поставщики оборудования для производства микросхем сообщают о выросших продажах в КНР Китайские компании стараются перестраховываться на случай более жёстких санкции со стороны США

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

В России начали поставки оборудования нового поколения для суперкомпьютеров «Росэлектроника», входящая в состав Ростеха, начала поставки оборудования нового поколения для создания суперкомпьютеров. Об этом рассказала пресс-служба госкорпорации.  Сгенерировано нейросетью Dall-E Оборудование стало более компактным по сравнению с...

Московские инновации: "Аэромакс" и "Принтпарт" переводят беспилотную авиацию на новый уровень В индустриальном парке "Руднево" в Москве, компании "Аэромакс" и "Принтпарт" начали производство инновационных поршневых двигателей для беспилотников, которые не имеют аналогов в России.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

“Ростелеком” импортозаместила оборудование в ЦОД, Яндекс укрепляет позиции в Казахстане Апрель 2024 года отметился большим количеством новостей с рынка ЦОД России и других государств постсоветского пространства. Самые интересные собраны в свежем дайджесте. Рассказываем, что нового у компаний “Ростелеком”, Key Point и Yandex Cloud, а также делимся пр...

Новый 3D-принтер Bambu Lab A1: скорость и универсальность по доступной цене Компания Bambu Lab уже завоевала репутацию новатора в мире 3D-печати. Их оборудование Core XY появились на рынке, выделяясь своей скоростью и точностью, которые трудно превзойти. Ну а если скорости и точности кому-то недостаточно, то мультифиламентная система AMS уж точно вы...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

В Тольятти начнется выпуск «мягкой» панели приборов для Lada Vesta. Производство перенесли из Ижевска в рекордные сроки АвтоВАЗ сообщил о завершении проекта переноса производства Lada Vesta из Ижевска в Тольятти, последним этапом переезда стал перенос технологии изготовления так называемой «мягкой» панели приборов. На это ушло всего 6 недель. Новое оборудование установили в Т...

Google запустил в Неваде инновационную геотермальную электростанцию Компания Google сообщила об официальном запуске в работу новой электростанции в Неваде, которая будет обеспечивать энергией ее дата-центры в этом регионе. Особенность объекта в том, что это геотермальная электростанция нового типа по технологии от Fervo. Она считается универ...

На заводе Samsung в Калуге начнут собирать «российско-китайские» телевизоры VVP Group, дистрибьютор на рынке электроники, собирается начать производство «российско-китайских» телевизоров на заводе Samsung (принадлежит ООО «Самсунг Электроникс Рус Калуга») в Калуге. Как сообщается, компания приобрела или арендовала производственную линию, пишет газет...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

США «перекрывают кислород»: на фоне микрочиповой войны поставки оборудования Китаю рухнули более чем вдвое Поставки США оборудования для производства чипов Китаю рухнули в конце зимы обвалились в 2,3 раза. Это является антирекордом за последние несколько лет, при этом несколько месяцев до этого поставки были рекордными. Поставки американского оборудования в Китай начались в ...

Обзор RangeVision Pro 2 быстрее, легче, точнее! В продажу поступил новый 3D сканер российского производства RangeVision Pro 2. В этой статье рассказываем о новинке и ее особенностях! RangeVision - российский производитель профессионального оборудования для 3D измерений. Компания разрабатывает и создает 3D сканеры метроло...

Американские чиновники надеются, что оборудование для производства 7-нм чипов в Китае рано или поздно деградирует И новое китайские производители нигде купить не смогут.

Microsoft сообщила о дефиците облачных вычислений для развёртывания ИИ Компания Microsoft в отчёте за III квартал 2024 финансового года рассказала о растущем дефиците вычислительных мощностей, из-за чего не хватает возможностей для развёртывания инфраструктуры дата-центров для обработки данных ИИ-технологий.

Экспортная версия Kia EV5 запущена в производство - внедорожник будет дешевле, чем Tesla Kia намерена экспортировать полностью электрический внедорожник EV5 по цене, которая ниже, чем у Tesla.

Huawei начнет строительство завода во Франции, несмотря на ограничения в использовании 5G Компания Huawei планирует построить завод для производства оборудования мобильных сетей во Франции.

Huawei начнет строительство завода во Франции, несмотря на ограничения в использовании 5G Компания Huawei планирует построить завод для производства оборудования мобильных сетей во Франции.

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

Эксперты: автомобильная «холодная война» началась Власти США намерены в четыре раза повысить тарифы на электромобили китайского производства, что совпадает с громким дебютом компании Zeekr, принадлежащей Geely Group, на Нью-Йоркской фондовой бирже (NYSE). Этот шаг, по словам экспертов, означает начало автомобильной «холодно...

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Холдинг Ростеха начал поставку новое оборудование для суперкомпьютеров Холдинг «Росэлектроника» госкорпорации Ростех начал поставки обновленного оборудования для создания суперкомпьютеров. Новая модификация стала более компактной и способной объединять серверы в мощные вычислительные кластеры для сложных расчетов. Первыми заказчиками нового обо...

В следующем году Huawei откроет завод во Франции Стало известно о планах китайской компании Huawei построить и запустить завод по производству оборудования для сетей мобильной связи. Строительство должно начаться в 2024 году.

В России возобновят строительство мусороперерабатывающего завода под Казанью ИНТЕРФАКС: компания "РТ-Инвест" подписала контракт c китайской корпорацией Chongqing Sanfeng Covanta Environmental Industry Co., LTD. на производство ключевого оборудования для завода по термической переработке отходов в Татарстане

Россия локализует производство оборудования для промышленного майнинга В России задумались о производстве оборудования для майнинга

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

В России уточнили срок постройки улучшенной ракеты «Ангара-А5М» По планам Центра «Хруничев», первый образец усовершенствованной ракеты тяжелого класса «Ангара-А5М» будет создан в 2025 году. Гендиректор предприятия, Алексей Варочко, подчеркнул, что новая ракета будет использоваться как на космодроме Восточный, так и на Плесецке. Он также ...

Samsung и SK Hynix отказались от продажи старого литографического оборудования Южнокорейские компании Samsung Electronics и SK Hynix отказались от любых продаж старого литографического оборудования, используемого для производства чипов. На это повлияли торговые санкции США.

Swissbit анонсирует новую линейку SSD N5200 для дата-центров и корпоративных серверов Swissbit представила новую серию SSD N5200 для дата-центров и корпоративных серверов, обеспечивая высокую производительность и надежность при хранении данных

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Intel выкупила все оборудование High-NA EUV у ASML Intel подтверждает свои амбиции в области полупроводников, выкупая все сканеры High-NA EUV у ASML для ускорения разработки и производства микросхем нового поколения.

DARPA намерено уже в этом году начать выпуск беспилотных среднетоннажных катеров DARPA намерено в ближайшее время создать в составе ВМС США флот беспилотных среднетоннажных катеров, оснащенных ракетами.

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Российская неделя ЦОД: 48 часов насыщенной деловой программы Российская неделя ЦОД: 5 форматов мероприятий, 2 дня конференций, 35 спикеров, 23 доклада, 5 панельных дискуссий, 12 техтуров по ЦОД«Москва-2» АО «Концерн Росэнергоатом», торжественное награждение Национальной премии «ЦОДы.РФ», более 250 участников рынка дата-центров и облач...

Проект 3D-печатного здания в Татарстане прошел государственную экспертизу В возведении общественно-культурного центра «Мелля» участвует компания 3D4Art, эксплуатирующая строительный 3D-принтер производства ярославского предприятия «АМТ». Проект финансируется компанией «Татнефть».

Британская армия получит усовершенствованных роботов обезвреживателей бомб T4 EOD Британская армия до конца 2024 года получит в свое распоряжение усовершенствованных роботов-обезвреживателей бомб T4 EOD, которые помогут нейтрализовать взрывчатые и отравляющие вещества.

В России запустят производство собственных подушек, ремней безопасности, рулевых колес и ЭБУ В 2025 году компания «Соллерс» планирует расширить свою деятельность, запустив производство ключевых компонентов для систем безопасности автомобилей на своем заводе в Ульяновске. Средства на этот проект в размере 1,2 миллиарда рублей были выделены из Фонда р...

Российская неделя ЦОД: 48 часов насыщенной деловой программы С 16 по 18 апреля в Москве прошла Российская неделя ЦОД – новый мультиформатный комплекс мероприятий для отрасли дата-центров и облачных вычислений. На протяжении двух дней гости мероприятия участвовали в конференциях, панельных дискуссиях, слушали доклады топовых спи...

Новый «китайский шок» на мировом рынке: Поднебесная может удвоить экспорт собственной техники, по данным The Wall Street Journal Газета The Wall Street Journal пишет о том, что Китай может удвоить экспорт собственной техники, что может вызвать новый «китайский шок» на мировом рынке. Согласно данным издания, Китай в настоящее время усиленно развивает производство автомобилей, машинного...

F2 innovations (часть 1) - Обзор компании Инженеры LIDER-3D посетили производство компании F2 innovations в Перми, где ознакомились с оборудованием компании и узнали о тонкостях производства!А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подробности о розыгрыше...

США готовят новые санкции против связанных с Huawei китайских технологических компаний Вашингтон готовит новые санкции, чтобы ограничить развитие искусственного интеллекта и производство полупроводников в Китае. В центре внимания оказался телекоммуникационный гигант Huawei.

ГК Softline и технопарк «Сколково» открыли Центр технологического суверенитета Новое пространство призвано помочь отечественным компаниям укрепить импортонезависимость путем консолидации экспертизы в области российских продуктов, а также за счет развития плодотворного сотрудничества и внедрения передовых технологий и решений.

Apple назначила дату следующей весенней презентации девайсов и гаджетов на 7 мая Представители компании уже начали отправлять приглашения журналистам разных изданий. Тема мероприятия пока не уточняется.

Международная выставка рельсового транспорта и производства оборудования 2023 г. в Чжучжоу    В Международном выставочном центре Чжучжоу проходит Китайская международная выставка рельсового транспорта и производства оборудования 2023 года («Экспо»), на которой была представлена «Сянцзянская декларация о двухэтапной стратегии достижения углеродной нейтральности в сфер...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Объявлена дата выпуска смартфона Oppo A3 Pro 5G Компания Oppo объявила дату выпуска своего нового смартфона Oppo A3 Pro 5G на этой неделе в Китае.

Финал Всероссийского чемпионата “3D Профи 2024” На прошлых выходных состоялся финал Всероссийского чемпионата “3D Профи 2024”. С прошлого года аддитивные технологии — новое направление деятельности ресурсного центра профнавигации и развития компетенций АНО «Развитие человеческого капитала». Организатором соревнований выс...

Вот как Zeekr намерена покорить сердца европейцев: запущено предсерийное производство универсала Zeekr 007 Универсал Zeekr 007 находится в стадии предсерийного производства, о чем пишет carnewschina.  В данный момент Zeekr приступил к изготовлению кузовов для новой модели. Покупатели автомобилей в материковом Китае обычно предпочитают внедорожники. Как пишет carnewschi...

Один из европейских университетов начнёт проводить лекции с помощью голограмм Университет Лафборо в Великобритании начнёт тестировать оборудование американской компании Proto для создания реалистичных голограмм, с помощью которых ВУЗ планирует проводить лекции.

Raytheon продемонстрировала возможности новой ракеты AMRAAM-ER для ЗРК NASAMS В ходе последних летных испытаний, компания Raytheon демонстрирует усовершенствованные возможности ракеты AMRAAM-Extended Range (ER), выпущенной с пусковой установки NASAMS, обещая новый уровень защиты в воздушном пространстве.

Continental увольняет тысячи сотрудников по всему миру По сообщению немецкого экономического журнала Manager Magazin, компания Continental, занимающаяся производством шин и автокомпонентов в Германии, намерена сократить приблизительно 5,5 тыс. рабочих мест по всему миру. Планы об этом были озвучены топ-менеджменту компании ...

IWD 2024: Усиление роли женщин в производстве промышленного и энергетического оборудования   В свете празднования Международного женского дня в 2024 году Shanghai Electric отмечает вклад женщин в сектор производства промышленного и энергетического оборудования за последний год, подчеркивая инициативы по защите прав, заботе о жизни и развитию навыков для созда...

C3 Solutions стал официальным поставщиком инженерной инфраструктуры для дата-центров «Ростелеком-ЦОД» Новый договор предусматривает долгосрочное сотрудничество, регулярные поставки и возможность пролонгации по мере роста сети.

TCL усовершенствовала свои «бумажные» дисплеи Компания TCL раскрыла спецификации новой версии фирменной технологии NXTPAPER для смартфонов и планшетов

Скафандр будущего: электростатическая ткань, спасающая астронавтов от лунной пыли Специалисты из Гавайского Тихоокеанского университета (HPU) разработали новый тип ткани для космических скафандров, способный «отталкивать лунную пыль по требованию». Проект получил финансирование от NASA в размере $50 000 и будет использовать электростатиче...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Майнеры всё чаще отказываются от видеоадаптеров и переходят на специализированное оборудование Даже "балконные майнеры" начали приобретать новые домашние ASIC-устройства. Рынок видеокарт стабилизируется

На Ставрополье создадут центр по производству беспилотников Владимир Владимиров, губернатор Ставропольского края, сообщил о планах создания научно-производственного центра по производству беспилотных летательных аппаратов. Он подчеркнул, что такой шаг был предпринят в ответ на запросы с передовой и потребности региона.

Huawei построит новый завод по производству смартфонов и другого оборудования во Франции Несмотря на американские санкции, многие западные страны хотят расширить сотрудничество с Китаем

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

Глава Nammo призывает ЕС перевести производство на военные рельсы Мортен Брандзег, глава оборонной компании Nammo, призывает Европейский Союз уделить больше внимания оборонной промышленности и обеспечить ей приоритетный доступ к критически важному оборудованию и электроэнергии.

Названа примерная дата выхода RTX 5090 и RTX 5080 В интернете начали всплывать подробности от инсайдеров, касательно запуска новой линейки видеокарт Nvidia

ТАСС: в США начнут производство гиперзвукового оружия в течение года В США назвали сроки запуска производства гиперзвукового оружия

Техасская компания Iozera.ai объявила о строительстве масштабного дата-центра мощностью 386 МВт Техасская компания Iozera.ai начинает строительство дата-центра мощностью 386 МВт и инновационного хаба по искусственному интеллекту в Марокко. Проект Eureka Park, оценённый в $500 млн, предусматривает расширение доступа к передовым ИИ-технологиям для учёных, стартапов и пре...

Дата-центры в Лондоне обогреют тысячи домов Правительство Великобритании выделило около 44,5 млн долларов для улучшения системы центрального отопления Западного Лондона. Согласно данным Datacenter Dynamics, данная система будет использовать тепло, выделяемое дата-центрами, для обогрева до 10 тысяч домов...

Data-рынок: как российские ЦОДы справляются с нарастающим спросом Традиционно рынок серверов, систем хранения данных и сетевого оборудования в России был представлен зарубежными компаниями — Dell, HP, Cisco и другими. В 2022-2023 гг data-центры взяли активный курс на импортозамещение при поддержке государства и отечественных поставщиков, п...

«Иногда твоя работа выглядит магией»: что делают дата-сайентисты в промышленности и почему так востребованы Привет, Хабр! Меня зовут Светлана Потапова, я руковожу «Северсталь Диджитал» — подразделением ИТ-функции Северстали, которое занимается разработкой и внедрением решений на основе машинного обучения. Data Science уже давно вышла за пределы ИТ-компаний, шагнула дальше банков и...

Стартовало производство отечественных ноутбуков «Аквариус» с высоким разрешением экрана Компания «Аквариус» сообщила о запуске производства улучшенной версии отечественных ноутбуков с высоким разрешением экрана. Ноутбук Aquarius Cmp NS616 разработан в собственном R&D-центре компании, системная плата изготовлена на производстве в Шуе, а сбор...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Популярный инсайдер из Bloomberg раскрыл дату выхода новых iPad Pro и MacBook Air M3 В последнем выпуске своего информационного бюллетеня Power On Марк Гурман из Bloomberg сообщает, что новые iPad Pro и M3 MacBook Air уже находятся в производстве в системе поставок Apple.

В Смоленске МТС прокачала голосовую связь к школьным каникулам и ноябрьским выходным ПАО «МТС» сообщает о модернизации сети в Смоленске к предстоящим школьным каникулам и ноябрьским длинным выходным. Новое телеком-оборудование установили в центре города и спальных районах сразу в 23 локациях.

Великобритания намерена ускорить использование лазерного оружия на своих военных кораблях ВМС Великобритании, после успешных испытаний лазерного оружия в уничтожении БПЛА, намеревается начать устанавливать его на своих кораблях с 2027 года.

VONAER и Autoflight планируют начать эксплуатацию 20 летающих такси Prosperity 1 VONAER намерена закупить 20 машин Autoflight eVTOL, ориентируясь на азиатский рынок и изучая варианты внутреннего развертывания в Корее.

CreatBot D600 Pro 2: широкоформатный, скоростной и надежный принтер для промышленной 3D-печати Всем привет, с вами компания 3Dtool!Среди производителей профессиональных 3D-принтеров компания CreatBot выделяется великолепным сочетанием доступности оборудования и производительности. В фирменной линейке представлено не только множество решений для крупноформатной FDM 3D-...

Петрозаводская компания «Лерто» сконструировала 3D-принтер для печати сухими смесями Компактная система предназначена для аддитивного производства малых архитектурных форм. Оборудование автоматически смешивает и регулирует консистенцию растворов с помощью встроенного бетоносмесителя.

Samsung начнет производство OLED-дисплеев для компании Apple Samsung начинает закупать оборудование, чтобы начать производство OLED-дисплеев для компании Apple.

Defense News: армия США ищет взрывчатку для увеличения производства боеприпасов Штаты намерены кратно увеличить выпуск артиллерийских снарядов, но пока не знают, где взять для них взрывчатку

КМЗ заключил крупные контракты на импортозамещение с применением аддитивных технологий Кингисеппский машиностроительный завод заключил контракты на сумму свыше ста миллионов рублей с частными предприятиями на производство комплектующих для оборудования ушедших с российского рынка западных компаний. В производстве литейных форм завод использует 3D-принтеры собс...

В Орле запускается производство спутникового оборудования Компания Rubetek получила контракт от оператора РТКомм, на первом этапе будут производить абонентские модемы и центральную земную станцию спутниковой связи.

Российские компании переведут на коммерческие ЦОД Минцифры обяжет российские госкомпании начать использовать отечественные облачные сервисы и центры обработки данных

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

В Самарском университете имени Королева открылся национальный центр аддитивного производства Новая площадка войдет в создаваемую национальную сеть технологических центров аддитивных и сопутствующих технологий. Это второй подобный центр в России, первый открылся в ноябре 2022 года на базе Технологического университета имени Леонова в подмосковном Королеве.

Глобальная цель АвтоВАЗа на 2023 год достигнута не будет – завод не сможет выпустить 400 тыс. автомобилей АвтоВАЗ поставил на текущий год амбициозную цель произвести 401 тыс. автомобилей. Однако достигнуть этого у компании не получится: план производства будет скорректирован до 370 тыс. авто. Об этом, как пишет инсайдерский паблик Avtograd News, сообщил вице-президент по пр...

Ростелеком покажет собственные базовые станции на конференции ЦИПР в мае Оператор Ростелеком представит на конференции ЦИПР-2024, которая пройдёт в конце мая в Нижнем Новгороде, первые полностью отечественные рабочие прототипы базовых станций мобильной связи. Президент компании Михаил Осеевский рассказал, что Ростелеком открыл отдельную комп...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Apple уже несколько раз откладывала старт производства новых iPad Компания Apple уже несколько раз переносила дату начала массового производства новых iPad. Об этом рассказали авторы ресурса DigiTimes со ссылкой на собственные источники среди тайваньских поставщиков Apple.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

Арендные самокаты Whoosh научили видеть людей вокруг себя Компания Whoosh успешно завершила испытания новых технологий в городских условиях Москвы, где протестировала самокаты, оснащенные компьютерным зрением и усовершенствованным дашбордом, отмечает пресс-служба компании на своем официальном сайте.

США требуют от Нидерландов ввести полный запрет на обслуживание литографических систем ASML в Китае Американская администрация оказывает давление на Нидерланды, требуя запретить голландской компании ASML обслуживать в Китае оборудование по производству микросхем.

Vps в Европе VPS (Virtual Private Server) в Европе - это виртуальный выделенный сервер, который предоставляет пользователю полный контроль над операционной системой и программным обеспечением, как на физическом сервере. VPS в Европе может быть полезен для различных целей, таких как хости...

YMTC разрабатывает новую архитектуру Xtacking 4.0 для памяти 3D NAND Компания намерена с её помощю создавать 128- и 232-слойные чипы памяти

Под Киевом начали строить завод по производству дронов компании Baykar Об этом заявил генеральный директор фирмы.

Amazon инвестирует $150 млрд в строительство новых дата-центров AWS для развития ИИ Amazon инвестирует десятки миллиардов долларов, чтобы конкурировать в области ИИ-сервисов с Microsoft и Google на фоне ожидаемого бума генеративного искусственного интеллекта.

IonQ открыла первый в США завод по производству квантовых компьютеров Компания IonQ, лидер в области квантовых вычислений, открыла в Сиэтле первый в США завод по производству квантовых компьютеров, которые можно развертывать в центрах обработки данных клиентов.

Лукашенко отменил НДС при ввозе не имеющего аналогов в стране оборудования Президент Белоруссии Александр Лукашенко подписал указ об отмене налога на добавленную стоимость (НДС) при ввозе уникального оборудования, которое не имеет аналогов в стране. Целью указала является поддержка и стимулирование создания новых производственных линий. Информ...

УАЗ очень сильно нарастил производство Ульяновский автозавод заметно нарастил производства. За январь и февраль предприятие выпустило более 5,2 тыс. автомобилей УАЗ, что на 65,8% больше, чем за аналогичный период прошлого года. Об этом сообщили в пресс-службе правительства Ульяновской области, добавив, что з...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Спрос на модульные ЦОДы в 2023 году вырос на 40% По оценке компании GreenMDC, в 2023 году спрос на модульные дата-центры увеличился в среднем на 40%. Рост интереса к МЦОДам вызван расширением отечественных производств, а также продолжением процессов информатизации и цифровизации госструктур в регионах. При этом спрос смеща...

Terran Orbital получила контракт на 15 миллионов долларов от Космических сил США Компания Terran Orbital, специализирующаяся на разработке и производстве малых спутников, заключила контракт с оборонным подрядчиком Axient Corp. на поставку оборудования и интеграционные услуги для поддержки экспериментов Космических сил США с малыми спутниками.

Майнеры начинают закупаться видеокартами и другим вычислительным оборудованием В связи с большим количеством новых проектов, видеокарты начали приносить ощутимую прибыль

ASML поставила Intel литографическое оборудование на $300 млн ASML, голландский гигант по производству полупроводникового оборудования, объявила о поставке своих новейших систем экстремальной ультрафиолетовой литографии «High NA» другому технологическому титану Intel.

Санкции санкциями, а новый завод Huawei начнут строить во Франции уже в следующем году Несмотря на то, что Huawei находится под достаточно жёсткими санкциями со стороны США, к которым в большинстве случаев в том или ином виде присоединились страны Евросоюза, это не означает, что китайский гигант заперт лишь в рамках родной страны. Как сообщается, уже в сл...

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Microsoft работает над новым чат-ботом, предназначенным для технической поддержки Xbox Компания намерена передать задачи, связанные с технической поддержкой, чат-ботам.

Полноприводный Buick Envision начали продавать в России В России на продажу выставили новый автомобиль Buick Envision 2023 года без пробега, который привезли частным путем. Автомобиль оценили в 6 339 000 рублей. Габаритные размеры равны 4662 х 1883 х 1631 мм при колесной базе 2779 мм. Панель приборов является аналоговой (стр...

Росатом создает российско-белорусский центр аддитивных технологий Центр будет оснащен 3D-принтерами производства Росатома и займется производством комплектующих для белорусских предприятий.

РТКомм и Rubetek займутся производством отечественного спутникового оборудования Федеральный оператор РТКомм, компания Rubetek и Корпорация МСП заключили трехстороннее соглашение по итогам отбора на поставку спутниковых модемов, центральной земной станции связи и абонентских устройств.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Microsoft ищет пути использования ядерных микрореакторов для питания своих дата-центров Microsoft, все чаще сталкиваясь с трудностями с обеспечением электропитанием дата-центров, рассматривает возможность внедрения в них ядерных источников энергии. ИТ-гигант решил сделать ставку на малые реакторы SMR модульного типа. Это новое поколение реакторов деления, отлич...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

СМЗ и завод в Екатеринбурге осваивают производство металлического тантала «Коммерсантъ»: ОАО «Соликамский магниевый завод» (СМЗ) совместно с АО «Екатеринбургский завод по обработке цветных металлов» начали опытные работы по производству металлического тантала.

Новые iPad представят уже 26 марта Ожидается, что Apple выпустит новые модели iPad в ближайшее время. Согласно новым данным, поступающим из Азии, компания анонсирует свои новые планшеты iPad во вторник, 26 марта. Китайский инсайдер Instant Digital заявил, что в эту дату Apple сделает анонс, связанный с н...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Оборудование подключенное к IX по IPv6 Когда у вас есть подключение с кем-либо где видно MAC адреса, это позволяет, с высокой долей достоверности, узнать производителя оборудования используемого вашим партнёром. А когда это общая коммутационная среда, как на точках обмена трафика, то производителя можно узнать у ...

Google и Microsoft начнут привлекать индийских специалистов для разработки ИИ На сегодняшний день в Индии насчитывается порядка 5 млн программистов. Вероятно, в связи с этим два техногиганта, Google и Microsoft, всё пристальнее смотрят в сторону Индии и намерены работать с местными специалистами.

Китай нашел свободных от санкций поставщиков оборудования для производства чипов из Южной Кореи. Перспективы отрасли Мы не раз и не два писали о влиянии санкций США на китайские компании из отрасли производства и разработки электроники. Сначала казалось, что санкции действуют, и очень хорошо — у Китая начались сложности почти сразу после введения различных мер со стороны США. Но спустя не...

Apple расширяет центры исследований и разработок в Китае Компания расширяет свой исследовательский центр в Шанхае, чтобы поддерживать все свои линейки продуктов, а также планирует открыть новую лабораторию в Шэньчжэне

Компания ISPsystem подтвердила совместимость DCImanager с серверами «Сила» В мае 2024 года ISPsystem («Группа Астра») и российский производитель корпоративного ИТ-оборудования «Сила» подтвердили, что работа платформы управления мультивендорной ИТ-инфраструктурой DCImanager полностью соответствует требованиям и стандартам серверного оборудования «Си...

Илон Маск похоже решился на выпуск бюджетного электрокара Tesla Tesla намерена навязать конкуренцию китайскому BYD: запуск производства недорогого электромобиля планируют через год на заводе в Техасе.

NASA и Nikon разрабатывают камеру для астронавтов программы Artemis, специально для условий Луны Во время миссий «Аполлона» в 1960-х годах астронавты сделали около 18 000 фотографий на Луне. Их фотоаппараты были очень похожи на модели, запущенные в 1960-х годах, и не имели видоискателей, поэтому астронавтам приходилось прикреплять камеры к своим скафанд...

iPhone 16 Pro и Pro Max могут получить корпус с полированными титановыми рамки Apple усовершенствовала процесс производства титановых корпусов для iPhone.

В российских автомобилях может появиться «жидкая кожа» Как сообщает «Автопоток» со ссылкой на Минпромэнерго Саратовской области, НПП «Полипластик» из города Энгельс запустило промышленное производство «жидкой кожи» на основе термоэластопласта. Компаунд используется дли изготовления тонкос...

Google представила процессоры Axion на архитектуре Arm для дата-центров Чипы Google Axion приносят повышенную скорость и эффективность

Cyxtera Technologies Inc. готовится к продаже дата-центров и банкротству Готовая к банкротству Cyxtera Technologies желает продать свои ЦОД Brookfield Infrastructure Partners

ИИ-отрасль потребляет всё больше ресурсов. Но ИИ может и помочь оптимизировать это потребление Дата-центры потребляют огромное количество ресурсов, включая воду и электричество. ИИ-направление одно из наиболее «прожорливых». Согласно лишь приблизительным подсчётам, сейчас на обеспечение работы ИИ-сервисов и приложений уходит энергии столько, сколько потребляют Нидерла...

Ростех создает более 20 «умных» цехов по производству авиадвигателей для гражданской авиации Объединенная двигателестроительная корпорация (входит в Госкорпорацию Ростех) создает на рыбинском предприятии «ОДК-Сатурн» систему управления производством «Умный цех» на основе Big Data и промышленного интернета вещей. В единую информационную систему будут объединены 23 це...

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Китай наносит ответный удар США, который ощутят Intel и AMD: операторы связи должны полностью отказаться от чипов иностранного производства к 2027 году Китайские операторы связи должны отказаться от использования чипов иностранного производства в сетевом оборудовании к 2027 году, согласно новому требованию властей. Как сообщает сообщает газета The Wall Street Journal (WSJ) со ссылкой на собственные источники, Министерс...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Samsung удвоит инвестиции в производство полупроводников в Техасе По информации The Wall Street Journal, Samsung планирует удвоить свои инвестиции в Техасе, что станет огромным успехом для программы CHIPS администрации президента Байдена. Это приведет к общим инвестициям в чиповый сектор штата на сумму $ 44 миллиарда. Подтвержденное расшир...

Младший брат или новый флагман? Компания Nothing ... Появились слухи, что компания Nothing намерена выпустить еще один интересный смартфон под названием Nothing Phone 2a. Попытки косить под Google с их Pixel 7a или что-то новое пока неизвестно.

Майкрософт запустил новый центр ИИ в Лондоне Майкрософт объявил о запуске нового центра по искусственному интеллекту (ИИ) в Лондоне. Во главе нового подразделения станет Джордан Хоффман, ученый и инженер в области ИИ, присоединившийся к Майкрософту из стартапа Inflection AI, в который компания инвестировала в прошлом г...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Крупное предприятие по производству оперативной памяти на востоке Китая начнёт работу через три года Компания Changxin Xinqiao потратит на его строительство $21 млрд.

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Раскрыта дата анонса первого смартфона на новейшем Snapdragon 8s Gen 3 Готовьтесь к новой эре производительности! Xiaomi назвала дату анонса Civi 4 Pro, первого смартфона, оснащенного новейшим процессором Snapdragon 8s Gen 3. Случится это уже 21 марта.

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

В Швеции закрыли 18 дата-центров за незаконный майнинг криптовалют Они пользовались льготами, предназначенными для обычных ЦОД

Жители города Вязники получили новое качество связи МегаФон расширил покрытие и увеличил скорости 4G в историческом центре города Вязники Владимирской области. Благодаря модернизации оборудования местные жители могут пользоваться надёжным высокоскоростным интернетом стандарта LTE в популярной локации города – Соборной площади...

Южная Корея планирует создать новый космический кластер к 2027 году с финансированием в $1,14 миллиарда Южная Корея обнародовала амбициозные планы создания кластера космической промышленности в течение ближайших восьми лет. Он будет включать не только производство спутников и ракет, но и обучение космонавтов. Для достижения этих целей в 2027 году правительство Южной Кореи...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

5 ежедневников, которые помогут внедрить полезные привычки и качественно изменить жизнь Начните новый год с чистого листа.

В России предложили решение проблемы утилизации промышленных отходов спирта Ученые из Омского государственного технического университета (ОмГТУ) предложили новый способ обработки промышленных отходов, связанных с производством этилового спирта. Их исследование направлено на утилизацию спиртовой барды, побочного продукта производства спирта из з...

Первый частный запуск Японии Space One перенесён на 13 марта В субботу, 9 марта, должен был состояться первый частный орбитальный запуск в Японии, запланированный компанией Space One с дебютом небольшой ракеты-носителя. Запуск был запланирован с новой стартовой площадки компании, но из-за технических проблем он был перенесён. Нов...

Вьетнамская компания VinFast откроет в Индии за $500 млн завод по производству электромобилей Логотип вьетнамского производителя электромобилей VinFast изображен в торговом центре в Санта-Монике, штат Калифорния, США, 23 мая 2022 года

Компания ASML начала поставки ключевых компонентов новых микрочиповых машин для Intel Оборудование нового поколения обойдётся компании в 250 миллионов евро

AMD представила облачного провайдера на базе Instinct MI300X Сегодня компания AMD официально сообщила о запуске Nscale — первого в мире облачного провайдера, полностью построенного на технологиях AMD и оснащённого мощным искусственным интеллектом на базе графического ускорителя Instinct MI300X. «Nscale — это вертикально интегрированно...

Huawei решила начать производство собственной памяти HBM Если это удастся, то компания станет независима от различных ограничений и санкций со стороны Запада

В России откроется центр коллективного пользования для производства БПЛА Вице-премьер РФ Андрей Белоусов и заместитель мэра Москвы, Владимир Ефимов, провели осмотр Центра коллективного пользования в индустриальном парке "Руднево", сообщили в пресс-службе городского комплекса экономполитики. Этот Центр, создан для резидентов Федерального центра бе...

МТС оцифровала культурно-образовательный центр на Русском ПАО «МТС» обеспечила связью стандарта LTE культурно-образовательный центр на острове Русский. Благодаря установке нового телеком-оборудования воспитанники и педагоги культурного учреждения мирового масштаба получили доступ к высокоскоростному мобильному интернету и современн...

Власти Нидерландов попытались не дать ASML покинуть страну Правительство Нидерландов пытается предотвратить отъезд из страны самой ценной технологической компании — ASML. Ведущий мировой производитель оборудования для производства микросхем, компания ASML играет важную роль в экономике и технологическом положении Нидерландов. Однако...

«Серп и Молот» нарастит выпуск деталей для УАЗов На саратовском заводе «Серп и Молот» планируется увеличение производства компонентов для автомобилей УАЗ за счёт модернизации производственного участка. Это стало возможным благодаря государственной поддержке, которая позволила закупить необходимое оборудова...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

«Цифровой остров стабильности»: в Санкт-Петербурге построен новый «Дата-центр №1» при поддержке оператора ИТ-решений «ОБИТ» и Банка «Санкт-Петербург» Стратегическим партнером проекта выступил якорный клиент ЦОДа Банк «Санкт-Петербург», а ключевым инвестором — оператор ИТ-решений «ОБИТ».

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Оборудование Intel и Lenovo с 5-летней уязвимостью чинить не собираются В серверном оборудовании таких крупных производителей, как Intel, Lenovo и Supermicro, обнаружена критическая уязвимость. Исследователи из компании Binarly обнаружили, что проблема кроется в контроллерах управления базовой платой (BMC) — крошечных компьютерах, встроенных в м...

Моди бросает вызов Тайваню - Индия претендует на лидирующие позиции в производстве микросхем Индия намерена строить заводы с тайваньскими, японскими и тайскими партнерами. Цель - завоевать не менее 10% мирового рынка микросхем к 2030 году

Искусственный интеллект поможет врачам диагностировать рак кожи Исследование, проведенное учеными из медицинского центра Стэнфорда, показывает, что компьютерные алгоритмы, основанные на глубоком обучении и искусственном интеллекте, могут помочь врачам более точно диагностировать рак кожи. Даже дерматологи получают выгоду от помощи искусс...

Porsche построила свой двухмиллионный автомобиль в Лейпциге. Что это за машина? Менее чем через две недели после дебюта Porsche Panamera 2024 года у автопроизводителя появился еще один повод для праздника. Со сборочного конвейера в Лейпциге сошел двухмиллионный автомобиль, которым оказался Panamera Turbo E-Hybrid в цвете Madeira Gold Metallic. Он у...

Компания Neuralink Илона Маска получила разрешение на вторую операцию, несмотря на проблемы у первого пациента Компания Neuralink Илона Маска получила разрешение FDA на имплантацию своего чипа второму пациенту.  фото: Neuralink Операция запланирована на июнь, а до конца текущего года Neuralink рассчитывает провести 10 таких операций. Всего же в базе компании уже более 1000...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

Microsoft объявляет о создании нового центра искусственного интеллекта в Великобритании Microsoft планирует открыть центр в Лондоне для исследования и разработки ИИ, при этом наймет лучших специалистов в этой области. Компания также сотрудничает с OpenAI для расширения границ ИИ и поддержки инноваций в Великобритании.

Alltech с Vital Group создаст производство первоклассных средств медицинской визуализации Компания Alltech, разработчик первоклассного оборудования для медицинской визуализации в рамках группы Vital Group, провела пресс-конференцию под названием «Радость жизни, мечта инноваций» на 88-й Китайской международной выставке медицинского оборудования (China Internationa...

Французский оператор дата-центров Data4 инвестирует €500 млн в новый кампус в Милане Data4, французский оператор дата-центров, объявляет о планах инвестирования €500 млн ($535 млн) в новый кампус ЦОД в Милане. Кампус Mil2 будет построен на территории 77 тыс. м2 и будет состоять из четырех объектов. Это важный шаг для Data4 в развитии своей бизнес-стратегии и...

Lam Research собирается локализовать производство оборудования во Вьетнаме Бизнес в Китае становится вести всё сложнее.

Можно окончательно попрощаться со знаменитой функцией Touch ID от Apple Сообщается, что оборудование для производства необходимых для Touch ID iPhone чипов было окончательно отключено.

Зона высоких технологий Ляочэн: инвестиции в развитие отраслевой цепочки машиностроения Делегация компании Taixing Industry (Thailand) Co., Ltd. во главе с заместителем генерального директора г-ном Эдди (Eddie) посетила зону высоких технологий Ляочэн (Liaocheng High-tech Zone). Стороны подробно обсудили вопросы НИОКР и производства нового интеллектуального обор...

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

Tesla начнет производство электротягачей Tesla Semi в Берлине Илон Маск заявил, что Tesla начнет производство электрических грузовиков Semi на заводе Gigafactory Berlin. Однако для компании важно начать массовое производство сначала в США.

В России начали серийное производство принтеров на отечественных системных платах Российская компания «Катюша Принт» запустила серийное производство принтеров и многофункциональных устройств (МФУ) под брендом «Катюша» на отечественных системных платах.

ETnews: Samsung готовит к выпуску флагманские 2-нм процессоры Мало того, компании Qualcomm и Samsung намерены укрепить свое сотрудничество в разработке новых мобильных чипов.

Администрация Байдена выделила 7 млрд долларов на постройку в США семи центров по производству водорода. Не все будут производить его чисто Правительство США инвестирует в развитие производства водорода по всей территории страны.  Администрация президента США Джо Байдена выделила 7 млрд долларов для семи различных центров по производству водорода, которые расположатся в разных уголках США. Правительств...

48 лет вместе с Zilog Z80 Один из ранних экземпляров Zilog Z80 с датой выпуска в июне 1976 года. Геннадий Швец Создание Z80 — это усилия нескольких талантливых инженеров, пожелавших с нуля начать собственную компанию. Полвека назад микропроцессоры были новинкой, которую под силу было создать неболь...

Shanghai Electric представил новые решения на Всемирном саммите по энергетике будущего 2024 в Абу-Даби Shanghai Electric Power Generation Group, ключевая дочерняя компания Shanghai Electric, специализирующаяся на производстве энергетического оборудования, проектировании и обслуживании электростанций, продемонстрировала свое передовое энергетическое оборудование и решения для ...

Проект Google по производству геотермального электричества начал подачу электроэнергии к ЦОД Передовой геотермальный проект начал подачу электроэнергии, не содержащей углерода, в энергосистему Невады для питания центров обработки данных компании Google.

Дата-центр Microsoft в Дании обогреет избыточным теплом 6000 домов Подобной практики придерживаются во многих странах

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Кейс: использование 3D-Принтера Volgobot A4 PRO компанией 3DREX Volgobot продолжает активно сотрудничать с различными организациями и предприятиями. Мы работаем не только с крупными, но и c молодыми развивающимися компаниями. Сегодня хотим рассказать об одном из таких клиентов – компания 3DREX. Познакомимся с деятельностью организации.К...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

МТС оцифровала в Юрьев-Польском древнерусский собор с загадками ПАО «МТС» обеспечила высокоскоростным мобильным интернетом исторический центр города Юрьев-Польский. Благодаря установке нового телеком-оборудования местным жителям и туристам стал доступен мобильный интернет на скорости до 100 Мбит/с.

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Федералы начали проверку Meta* в связи с ее возможной ролью в продаже наркотиков Компания Meta*, ранее известная как Facebook*, оказалась под пристальным вниманием американских прокуроров, расследующих, не стали ли ее соцсети, такие как Facebook* и Instagram*, центрами для нелегальной продажи наркотиков.

В этом году Canon начнёт поставлять оборудование для печати 5-нм чипов, которое будет в 10 раз дешевле аналогов ASML А ещё оно не будет попадать под американские санкции в отношении Китая.

В России появился роботизированное производство медицинских имплантов В России появился роботизированный комплекс, который поможет в производстве имплантов

Samsung готовится к выпуску новой серии Galaxy Watch 7 в трех вариантах Компания намерена повысить объем памяти и предложить модель премиум-класса, чтобы конкурировать с Apple Watch.

В России создали технологию для усовершенствования строительных материалов В пресс-службе Саратовского государственного технического университета сообщили, что ученые вуза разработали инновационную технологию, которая поможет усовершенствовать строительные материал. Она позволяет создавать силикатные добавки с гораздо более низкой себестоимостью по...

В Смоленске МТС прокачала сеть ко Дню города МТС модернизировала сеть в Смоленске для проведения Дня города. Новое телеком-оборудование установлено в центре на улице Ленина, в Заднепровском и Промышленном районах. В результате проведенных работ скорость мобильного интернета на территории Смоленска выросла в среднем на ...

Китайская компания SMIC в шаге от производства 5-нм чипов, несмотря на торговые ограничения Сообщается, что компания построила два новых завода по производству чипов.

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

В России создали лазерный станок для обработки деталей газотурбинных двигателей По сообщению руководителя Департамента инвестиционной и промышленной политики Москвы Владислава Овчинского, столичный разработчик лазерных систем и оборудования — компания «Лассард» — по индивидуальному заказу Объединённой двигателестроительной к...

Самое высокое 3D-печатное здание в Европе возвели всего за 140 часов В немецком городе Гейдельберге недавно было построено уникальное здание дата-центра Wave House. Власти решили придать ему индивидуальность, поручив проектирование компаниям SSV и Mense Korte. Непосредственным подрядчиком выступила Peri 3D Construction, выстроившая объект общ...

Импортозамещение по-американски: США начинают выделять серьезные средства полупроводниковым компаниям внутри страны В 2022 году Штаты начали реализацию программы по развитию индустрии производства чипов внутри страны. Речь идет о законе CHIPS Act. Согласно ему, компаниям, которые развертывают производства внутри государства, выделяются средства в общем размере $52,7 млрд. Речь, конечно...

Предприятие «Росэлектроники» увеличивает производственные мощности за счет нового отечественного оборудования Концерн «Созвездие» холдинга «Росэлектроника» завершил ввод в эксплуатацию на фабрике радиоэлектронной аппаратуры новых отечественных многофункциональных обрабатывающих центров. Модернизация производства позволит на 50% нарастить мощность по токарным работам и на 40% по фрез...

Китайская OSChina и российские 3Logic Group и Xellon запустили платформу Gitee Китайская компания OSChina совместно с российскими 3Logic Group и Xellon запустила платформу для хранения и обмена открытым кодом Gitee. Данные платформы будут находиться в дата-центре в Москве, обеспечивая высокую скорость доступа и надежность работы для российских пользова...

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

Несмотря на запреты США, Китай нарастил импорт чипового оборудования вдвое Новый доклад Конгресса США показал, что несмотря на запрет экспорта передовых технологий, Китай сумел вдвое нарастить закупки оборудования для производства чипов в 2023 году.

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Самое большое обновление Windows 11 стало доступно для всех пользователей: что добавилось и что удалено Компания Microsoft выпустила большое функциональное обновление Windows 11 2023 или 23H2, которое теперь стало доступно для всех пользователей ОС без исключения. Оно считается последним крупным выпуском ОС перед релизом Windows 12. С этим обновлением связана небольшая п...

В России начались испытания оборудования для биоспутника «Бион-М2» Российские учёные начали испытания для запуска спутника с мышами-космонавтами

Общий хешрейт сети Kaspa достиг 39 PH в секунду Дилеры майнинг-оборудования начали продавать уже разогнанные аппараты под Kaspa

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Япония вынудит Apple открыть iOS для сторонних приложений После того как Европейская Комиссия заставила Apple открыть iOS для сторонних магазинов приложений в ЕС, появилась информация о том, что другие страны пожелали того же. Например, они начали расследовать деятельность компании Apple по вопросам антимонопольной практики, так ка...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Российский ИТ-вендор «Инферит» выводит на рынок новые линейки СХД для корпоративного сегмента Российский вендор «Инферит», специализирующийся на разработке и производстве компьютерного оборудования и ПО, представил новые линейки корпоративных систем хранения данных.

«МегаФон» внедрил российское транспортное оборудование в своей сети «МегаФон» завершил тестирование и в качестве пилотного проекта внедрил на своей сети транспортное IP-оборудование от российской компании B4Com. Об этом сообщила пресс-служба оператора.  Как отметили у оператора, по своим характеристикам маршрутизаторы ...

Samsung начнет пилотное производство полупроводниковых стеклянных подложек в этом году Samsung готовится начать пилотное производство полупроводников на стеклянных подложках в этом году, конкурируя с Intel и другими компаниями

Найден способ предсказания смерти человека при помощи нейросети Датскими учеными разработан алгоритм Life2vec, способный значительно усовершенствовать прогнозирование здоровья человека и в определенных ситуациях помочь предотвратить преждевременный уход из жизни. Исследователи, представляющие проект, рассказали о его сути в журнале Natur...

Росатом начал тестирование нового оборудования в Северске На опытно-демонстрационной площадке проекта «Прорыв» в городе Северск, Томская область, начались испытания уникального оборудования для производства инновационного ядерного топлива. Это событие отметили на международном форуме «Атомэкспо-2024», который проходит в федеральной...

Система спасения после аварий «ЭРА-ГЛОНАСС» появится в Китае Российская компания «ГЛОНАСС» начнёт работать в Китае и поможет в определении местоположения транспорта после аварии в безлюдных местах

АвтоВАЗ перезапустит производство практичной, популярной и высокомаржинальной Lada Largus во втором квартале 2024 АвтоВАЗ планирует перезапустить производство семейства Lada Largus на заводе в Ижевске во втором квартале 2024 года, о чем сообщил представитель компании в интервью РИА «Новости». В августе АвтоВАЗ объявил о переносе производства Lada Largus с основной площа...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Wall Street Journal: Rheinmetall имеет амбиции по производству новейших танков «Пантера» в Украине Компания уже пытается наладить производство бронетехники на украинских территориях.

VK Cloud начала принимать оплату в Казахстане картами из стран СНГ Компания VK (бывшая Mail.ru Group) объявила о запуске новой возможности для оплаты VK Cloud. Появилась поддержка оплаты сервисов облачной платформы в Казахстане с помощью банковских карт из стран СНГ: Армении, Азербайджана, Беларуси, Кыргызстана, Узбекистана и Таджикист...

Рост капзатрат на дата-центры ускорится В 2024 году мировые инвестиции в ЦОДы будут увеличиваться двузначными темпами, прогнозирует аналитическое агентство Dell'Oro Group.

Стартап Flawless Photonics успешно произвёл более 5 километров прозрачного оптического волокна на МКС Американский стартап Flawless Photonics, базирующийся в Кремниевой долине, создал на МКС более 5 километров ZBLAN всего за две недели. ZBLAN — это оптическое волокно, которое обладает высокой прозрачностью и широко используется на Земле в лазерных системах и усили...

В BMW прокомментировали рекламу с изображением «Москва-Сити». Планов возвращаться в РФ нет Компания BMW прокомментировала свежую рекламу, заявив, что публикация рекламного постера с новой BMW 3-Series на фоне бизнес-центра «Москва-Сити» не является намеком на возобновление деятельности бренда в России. «Правительство Германии ввело санкции в...

Ростех импортозаместил фрезерные станки для авиа- и оборонной промышленности Холдинг «СТАН» Госкорпорации Ростех представил новые модели фрезерных станков, предназначенных для предприятий авиационной, двигателестроительной и оборонно-промышленной отраслей. Серийное производство оборудования будет налажено на стерлитамакском НПО «Станкостроение».

Маркетинговые войны XXI века: один кейс из жизни глобального бизнеса В начале декабря 2023 года Баженовское месторождение асбеста было признано «Достоянием Среднего Урала» — за него отдали голоса 9 150 человек. Оно заняло почетное второе место, уступив около 3 тысяч голосов одному из старейших производств Свердловской области, старому Демидов...

Президент Xiaomi лично поможет собирать Xiaomi 14 Смартфоны линейки Xiaomi 14 набирают большую популярность ещё до официального анонса, что подтверждают данные по предварительным заказом и активное обсуждение новых смартфонов в Weibo. Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, оставил на св...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Samsung активно закупает оборудование для упаковки памяти, рассчитывая получить заказы NVIDIA Ускорители B100 начнут выпускаться во втором квартале следующего года.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

КамАЗ запускает в производство новые модели На КамАЗе успешно собраны первые образцы новых моделей грузовиков, о чем сообщает журнал «За рулем». В январе этого года КамАЗ впервые уступил лидерство в продажах грузовиков на российском рынке китайским грузовикам Sitrak. На заводе КамАЗ понимают, что ключ...

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

В России начнут устанавливать человеку возвращающий зрение нейроимплант Заместитель директора лаборатории "Сенсор-тех", которая является резидентом "Сколково", Андрей Демчинский рассказал во время форума "Биотехмед" в Сочи , что лаборатория намерена установить человеку возвращающий зрение нейроимплант к 2025 году, пишет ТАСС.

Вакансия Сервисный инженер в 3DTool Всем привет, Друзья! Новая вакансия от 3DTool!Мы расширяем наш сервисный центр в Москве и ищем в команду сервисного инженера, который будет работать с 3D оборудованием и станками с ЧПУ.Что нужно будет делать? Техническая поддержка заказчиков по телефону или e-mail (консульта...

В Симферополе открылся Центр ИИ и анализа больших данных Крымский федеральный университет (КФУ) в Симферополе открыл Центр искусственного интеллекта и анализа больших данных. Директор центра Марина Руденко подчеркнула важность этой инициативы, направленной на создание новых технологий и укрепление образования в регионе.

Северокорейксие хакеры взломали производителей чипов из Южной Кореи Хакеры из Северной Кореи взломали как минимум два южнокорейских бренда оборудования для производства чипов.

NIS: Северная Корея взломала южнокорейских производителей оборудования для производства микросхем Северокорейские хакеры, предположительно, совершили взлом систем южнокорейских производителей оборудования для производства микросхем.

Китайский рынок оборудования для производства чипов обретает для японских поставщиков первостепенное значение И санкции этому не столько мешают, сколько помогают.

Омский НИИ будет выпускать до 3000 м² печатных плат в год Омский НИИ приборостроения, входящий в состав "Росэлектроники", благодаря модернизации оборудования значительно увеличит производство печатных плат до 3000 м² в год.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)