Социальные сети Рунета
Суббота, 11 мая 2024

Стартап представил идею заводов по производству микросхем по принципу Lego Нью-йоркская компания Nanotronics, занимающаяся разработкой промышленных ИИ, стремится совершить революцию в производстве полупроводников с помощью своей инновационной системы Cubefabs. Эти модульные заводы по производству микросхем с поддержкой ИИ могут быстро собираться и ...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

ASML поставила Intel литографическое оборудование на $300 млн ASML, голландский гигант по производству полупроводникового оборудования, объявила о поставке своих новейших систем экстремальной ультрафиолетовой литографии «High NA» другому технологическому титану Intel.

DNP разрабатывает процесс изготовления фотомасок для 3-нм EUV-литографии Компания Dai Nippon Printing Co. (DNP) успешно разработала процесс производства фотомасок, способных работать с 3-нанометровым (10-9 м) литографическим процессом, который поддерживает экстремальную ультрафиолетовую (EUV) литографию - передовой процесс производства полупровод...

Бриллиантам нашли применение в полупроводниках Бриллианты, возможно, и не являются лучшими друзьями каждого человека, но вскоре они могут стать фаворитом технологической индустрии. Исследователи из Университета Киото совершили прорыв в понимании того, как манипулировать алмазами для использования в полупроводниках нового...

Intel получила от ASML первый инструмент для производства микросхем High-NA EUV Intel стала первым первопроходцем революционной новой технологии литографии

Huawei и SMIC разработали технологию SAQP для производства 5-нм чипов Компании Huawei и SMIC разработали технику SAQP, которая позволяет достичь уровня 5 нм в производстве полупроводников

Разработан метод 3D-печати кровеносных сосудов с помощью инновационной технологии формования льда Новая технология 3D-печати обещает совершить революцию в трансплантации органов. Этот инновационный метод, более биологически совместимый, чем предыдущие методы, решает проблемы создания функциональных искусственных органов и может сократить количество испытаний на животных.

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Завтра в Ирландии начнётся выпуск продукции по технологии Intel 4 Это первый случай применения EUV-литографии в массовом производстве на территории Европы.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

Китай и «эффективность» санкций США: голландский импорт литографии вырос в десять раз Удивительным образом Китай обходит американские ограничения на оборудование для производства микросхем, обращаясь к Нидерландам, которые в ноябре в десять раз увеличили импорт систем литографии. На общую сумму 762,7 миллиона долларов Китай ввез 16 единиц передового оборудова...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Disney разработала VR-пол HoloTile Новая разработка Disney, которая может совершить революцию в индустрии развлечений.

NIS: Северная Корея взломала южнокорейских производителей оборудования для производства микросхем Северокорейские хакеры, предположительно, совершили взлом систем южнокорейских производителей оборудования для производства микросхем.

За 10 лет учёные создали первый в мире функциональный полупроводник из графена Ученые из Технологического института Джорджии разработали первый в мире функциональный полупроводник из эпитаксиального графена. Новая технология создания графеновых полупроводников открывает перспективы для электронной индустрии и будет улучшаться для новых технологических ...

Китай наносит ответный удар США, который ощутят Intel и AMD: операторы связи должны полностью отказаться от чипов иностранного производства к 2027 году Китайские операторы связи должны отказаться от использования чипов иностранного производства в сетевом оборудовании к 2027 году, согласно новому требованию властей. Как сообщает сообщает газета The Wall Street Journal (WSJ) со ссылкой на собственные источники, Министерс...

Galactic Acres первая мобильная игра от Good Gaming, интегрированная с технологией Web3 Good Gaming собирается совершить революцию в мобильном гейминге с помощью интегрированного искусственного интеллекта Galactic Acres.

ASML придётся сократить план поставок оборудования для EUV-литографии В этом убеждён известный отраслевой аналитик.

TSMC откроет самое современное предприятие по производству полупроводников в Японии Первоначально завод будет производить технологии класса 28 нм.

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Рост поставок голландских литографических систем в Китай вырос в 10 раз. Китайские компании обходят ограничения США и увеличивают импорт систем литографии из Нидерландов. Импорт в ноябре вырос в десять раз, достигнув $762,7 млн. Сектор полупроводников в Китае все больше выходит на альтернативные рынки.

Российские учёные достроят советский синхротрон для создания технологии производства полупроводников Предполагается, что подобное устройство поможет создать литографы для производства чипов

В России создан недорогой материал на основе нитрида индия и кремния для ИК-технологий Новый полупроводниковый материал обещает революцию в производстве устройств ближнего ИК диапазона благодаря высокой эффективности и низкой стоимости.

В новом концепт-каре Opel представляет будущее вождения с экспериментальной светотехникой Opel планирует совершить революцию вождения в ночное время.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

Домашняя революция в 3D-печати металлами: использование SLM и оптоволоконных граверов Вступление В последнее время мир 3D-печати переживает настоящую революцию благодаря появлению доступных технологий печати металлом. Одно из самых примечательных нововведений - использование технологии Selective Laser Melting (SLM) в сочетании с обычными оптоволоконными насто...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Флагманский Galaxy S24 Ultra совершит ИИ-революцию среди смартфонов Samsung Samsung готовится к запуску своего новейшего флагмана, Galaxy S24 Ultra, который, согласно инсайдерской информации, обещает революционный скачок в области искусственного интеллекта для смартфонов бренда.

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Архангельск импортозаместил оборудование для лесопромышленности Губернатор Александр Цыбульский сообщил о новых достижениях в области производства лесозаготовительного оборудования в Архангельской области. На Международной выставке-форуме «Россия» в Москве он представил три новые отечественные головки харвестеров, созданные с целью сниже...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Генеративный искусственный интеллект готов совершить революцию в киноиндустрии Генеративный искусственный интеллект: многие уже считают, что это будущее кинопроизводства.

TSMC открыла новый завод по производству полупроводников в Японии Компания Taiwan Semiconductor Manufacturing Company (TSMC) открыла новый завод по производству полупроводников в Японии

Инсайдер Revegnus: MacBook получит сенсорный экран Компания Apple может совершить революцию в использовании своих ноутбуков.

В России разработали инструмент для производства микросхем, способный заменить литографию В России тоже хотят производить чипы

ASML отметила первую установку инструмента для EUV-литографии Twinscan NXE:3800E с низким уровнем АН Компания ASML отметила важную веху - в своих социальных сетях она поделилась новостью о том, что ее инструмент для экстремальной ультрафиолетовой (EUV) литографии третьего поколения достиг неназванного заказчика

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

В России запустили производство лазерных станков для печатных плат Московская компания начала выпуск лазеров для производства печатных плат и полупроводников

Твердотельный накопитель Micron 3500 совершил революцию в хранении данных Особенностью Micron 3500 считается его подключение по четырехканальной шине PCIe Gen4

Подводный робот, имитирующий кальмаров и медуз, может совершить революцию в морских исследованиях Ученые утверждают, что их робот плавает так же эффективно, как медуза.

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Контрактный бизнес Intel нужен, чтобы окупить затраты на EUV-литографию А субсидии властей помогут развивать производство чипов в США и Европе.

Выход за границы крупногабаритной печати: Eplus3D представляет EP-M2050 с 36 лазерами! Широкоформатная мультилазерная система аддитивного производства металлических деталей EP-M2050 обладает 36 лазерами и задает новые стандарты производительности, универсальности и эффективности. Это оборудование, основанное на передовых технологиях, позволяет изготавливать ра...

В США появился первый биометрический «умный» пистолет Biofire, стартап из Колорадо, собирается поставить первую партию своего биометрического «умного» пистолета в этом месяце. Этот пистолет, оснащенный технологией распознавания отпечатков пальцев и лиц, обещает совершить революцию в области безопасности оружия, поскольку стреля...

В Тольятти начнется выпуск «мягкой» панели приборов для Lada Vesta. Производство перенесли из Ижевска в рекордные сроки АвтоВАЗ сообщил о завершении проекта переноса производства Lada Vesta из Ижевска в Тольятти, последним этапом переезда стал перенос технологии изготовления так называемой «мягкой» панели приборов. На это ушло всего 6 недель. Новое оборудование установили в Т...

Что такое торрент, и как он работает: просто о сложном Торренты, сиды, пиры, личи, пиринговые сети. За этими словами скрывается технология, совершившая настоящую революцию в обмене информацией сети. Рассказываем о ней простыми словами.

Xiaomi запланировала начало продаж электромобиля SU7 на 28 марта Xiaomi намерена совершить революцию на рынке электромобилей, выпустив свой первый автомобиль SU7.

3D-технологии все ближе: осенние акции на оборудование от 3DVision Всем 3D-привет!3D-технологии становятся все более доступными и востребованными в различных отраслях промышленности. Именно поэтому сейчас самое время узнать о горячих осенних акциях 3DVision на оборудование для 3D-печати и сканирования. Начнем с осеннего предложения на промы...

Китай вложил 40 миллиардов долларов в импорт оборудования для производства чипов в 2023 году После заявлений о скорых санкциях со стороны США, китайские компании начали активно скупать всё новейшее оборудование

Росатом передал SLM 3D-принтер Томскому политехническому университету На международном форуме «Атомэкспо-2024» состоялась передача Томскому политехническому университету (ТПУ) 3D-принтера RusMelt 300M производства Росатома. Оборудование работает по технологии селективного лазерного сплавления металлопорошковых композиций и будет эксплуатироват...

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

Создан первый в мире функционирующий графеновый полупроводник Исследователи из Технологического института Джорджии успешно разработали первый в мире функционирующий полупроводник на основе графена. Этот прорыв способен произвести революцию в области электроники, обеспечив ускорение традиционных компьютеров и проложив путь к будущим при...

Intel за счёт перехода на EUV смогла снизить себестоимость производства чипов почти в два раза И передовая литография в дальнейшем будет для неё оставаться важным источником дохода.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Loongson переходит на 7-нм нормы – новый процессор 3A7000 выпустит китайская SMIC Производство стартует не раньше 2025 года

Peloton заключит эксклюзивное партнерство с TikTok Peloton Interactive заключает партнерство с TikTok для представления своих тренировок на новой платформе. Компания меняет стратегию с производства оборудования на развитие программного обеспечения из-за снижения спроса на фитнес-оборудование после пандемии.

ДНК помогли в разработке полупроводников Исследователи из Иллинойсского университета Урбана-Шампейн совершили прорыв в разработке полупроводниковых материалов, используя хиральность - концепцию, вдохновленную природной стратегией дизайна структур, подобных двойной спирали ДНК.

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Кремний всё? Создан первый функциональный графеновый полупроводник Возможно, грядет революция в электронике, после того как исследователи из Технологического института Джорджии создали первый в мире функциональный полупроводник из графена. Этот прорыв может проложить путь к созданию более компактных и быстрых устройств и даже открыть дверь ...

«Вопрос защиты национальной безопасности важнее краткосрочной прибыли», — не все американские производители микросхем довольны санкциями, по словам Джины Раймондо. Министр торговли США Джина Раймондо признала, что не все американские производители микросхем довольны санкциями против Китая, поскольку ограничения приводят к потере прибыли. «Я знаю, что в зале сидят главы компаний по производству микросхем, которых несколько ра...

Найден материал для безопасных и высокопроизводительных литий-ионных батарей Исследователи совершили значительный скачок в разработке твердотельных литий-ионных батарей — технологии, способной произвести революцию в электромобилях и других устройствах.

TSMC приостановила производство чипов после землетрясения на Тайване Тайваньская компания по производству полупроводников (TSMC), крупнейший в мире производитель современных чипов, остановила работу некоторых машин по производству микросхем и эвакуировала персонал после крупнейшего землетрясения, произошедшего на острове. Это может вызвать…

Немедленно в печать! Настоящее и будущее аддитивных технологий Первая волна массового использования 3D–принтеров захлестнула мир чуть больше 10 лет назад. Поначалу технологию воспринимали как выставочную, однако уже в 2016 году она доказала свою состоятельность. Оборудование стоимостью менее 1000$ стало доступным для рядовых покупателей...

Революция в майнинге: Bitdeer Technologies представила 4-нм чип для майнинга биткоинов SEAL01 Компания Bitdeer, являющаяся мировым лидером в области технологий блокчейна и высокопроизводительных вычислений, сегодня представила свой первый чип для майнинга криптовалюты – SEAL01. Энергоэффективность этого чипа представляет интерес.

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Что такое Quad Bayer фильтр в камерах и работает ли он на самом деле? Летом 2018 года Sony представили сенсор IMX586 на 48 мегапикселей, в то время как нормой у смартфонов тогда было 12 или 16. В этом посте разбираемся, действительно ли технология светофильтров Quad Bayer, использующаяся в нём, работает, или это просто маркетинговая уловка. Да...

В России начали массово производить серверное оборудование В Рязанской области открылся завод по производству оборудования для серверов

Samsung удвоит инвестиции в производство полупроводников в Техасе По информации The Wall Street Journal, Samsung планирует удвоить свои инвестиции в Техасе, что станет огромным успехом для программы CHIPS администрации президента Байдена. Это приведет к общим инвестициям в чиповый сектор штата на сумму $ 44 миллиарда. Подтвержденное расшир...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

Canon хочет бросить вызов ASML с помощью более дешевой машины для наноимпринтной литографии 5 нм Если технология NIL сможет увеличить производительность и снизить затраты, она может найти свое место

Опытный электросамолет с укороченным взлетом EL-2 Goldfinch совершил первые полеты В 2020 году американская компания по производству аэромобилей Electra совместно с ВВС США приступили к разработке самолета с укороченным взлетом и посадкой (ultra-STOL). Результатом их усилий стал уже совершивший первые два полета двухместный электрический самолет EL-2 Goldf...

Ученые совершили прорыв в области органических солнечных элементов Исследователи из Университета Гонконга (HKU) совершили прорыв в органической фотовольтаике (OPV), перспективной технологии для устойчивого производства солнечной энергии.

Российские ученые создадут новые полупроводники для 5G Руководитель лаборатории структурных и фазовых превращений в конденсированных средах Института проблем машиноведения (ИПМаш) РАН в Санкт-Петербурге Сергей Кукушкин рассказал, что ученые из ИПМаш РАН начали работу над созданием новых полупроводников на основе карбида кремния,...

Seagate и Sony будут сотрудничать для наращивания производства накопителей больших объемов Развитие технологий искусственного интеллекта вынуждает центры обработки данных обновлять своё оборудование всё быстрее

Один год ChatGPT: как ИИ изменил мир технологий Проходит год с момента, как OpenAI выпустил ChatGPT – чат-бота на основе искусственного интеллекта. И это событие оказалось началом настоящей революции в технологиях - сейчас мы вспомним, как это изменило мир

Создан способный искать инфекции в режиме реального времени сенсор Исследователи Пхоханского университета науки и технологий (POSTECH) создали «широкополосный нанозазорный золотой спектроскопический датчик», способный обнаруживать широкий спектр материалов, включая вирусы, с исключительной чувствительностью. Эта новая технология способна пр...

Американские ученые создали аккумулятор на основе железо-поточной технологии Аккумулятор нового поколения обещает революцию в хранении энергии

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Представлены смартфоны Pixel 8 с самым умным автоответчиком и «фотошопом» лица на фото одним нажатием Google представила свои новые смартфоны — Pixel 8 и Pixel 8 Pro. Устройства обещают принести «новую ИИ-революцию прямо в ваши руки».

США разрешат Samsung поставлять в Китай оборудование для производства чипов Министерство торговли США продлит разрешение на ввоз в Китай оборудования для производства чипов памяти компаниями Samsung Electronics и SK Hynix. Этим вендорам не понадобится получать какие-либо разрешительные документы.

В Китае начался «мор» компаний, приводящих полупроводники В 2023 году в Китае усугубилась негативная тенденция, в сфере производства полупроводников. Образовалась настоящая пропасть между количеством новых компаний, производящих чипы, и числом потерпевших крах.

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Ученые совершили прорыв в лазерной нанообработке силами мелкой точки света Исследователи из Университета Тохоку совершили значительный прорыв в лазерной нанообработке, создав невероятно маленькое световое пятно. Это достижение способно произвести революцию в различных отраслях промышленности, включая автомобильную, полупроводниковую и медицинскую.

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Пентагон отказал Intel в финансировании на $2,5 млрд Пентагон отказался от выделения IT-компании Intel $2,5 млрд в виде грантов на производство полупроводников

Рынок материалов для производства полупроводников в прошлом году сократился Только Китай нарастил добычу материалов для микросхем.

TSMC открыла первый завод в Японии Технологический гигант TSMC официально открыл в субботу свой первый завод по производству полупроводников в Японии, что стало важной вехой в его стратегии глобального расширения и крупной победой Японии в ее стремлении вновь закрепиться в отрасли производства микросхем.

Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel, похоже, намекает нам на то, что в будущем процессоры вполне смогут потреблять более 1 кВт мощности. Совместно с Submer процессорный гигант анонсировал революционную, как говорят участники, разработку в области однофазной технологии погружного охлаждения,...

Использование 3D печати в разных отраслях 3D оборудование постепенно становится все более доступным и завоевывает популярность не только у любителей, но и в производственной среде. 3D печать используется для производства продуктов в разных отраслях - здравоохранении, моде, автомобильной и строительной промышленности...

Металлинзы: революция в дизайне камер Новая технология может сделать камеры меньше, легче и дешевле, а также открыть новые возможности для съемки

Водоросли, моча и клетки почек: новая «адская смесь» для изучения бактерий Доктор Мелани Пирсон и ее команда из Медицинской школы Мичиганского университета совершили скачок в микробиологии, выпустив смесь под названием «органный агар». Эта студенистая смесь, состоящая из агара, полученного из морских водорослей, человеческой мочи и специфических ор...

Samsung намерен инвестировать $44 млрд на строительство нового завода полупроводников Корпорация планирует построить еще один завод по производству микросхем в Техасе, а также расширить научно-исследовательскую деятельность.

Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 2024 году Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 30 миллионов пластин в месяц в 2024 году

Мощное землетрясение на Тайване привело к остановке производства TSMC Пока неизвестно, как землетрясение повлияет на поставки полупроводников на мировой рынок.

Стоимость производства полупроводников вырастет из-за повышения цен на электроэнергию в Тайване "Гиганты" должны платить на 30% больше остальных.

Tesla долго загрязняла воду в Германии, из-за чего её завод могут закрыть О возможной приостановке производства на заводе американского автопроизводителя Tesla из-за явного превышения допустимых норм загрязнения окружающей среды сообщает ТАСС со ссылкой на немецкий телеканал N-tv. Эта информация получена из письма Ассоциации водоснабжения Штр...

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Bloomberg: ЕС планирует вслед за США оценить риски использования китайских микросхем Евросоюз, следуя примеру США, намерен пересмотреть риски, связанные с использованием китайских микросхем в ключевых отраслях экономики. Согласно проекту рабочего заявления, Брюссель рассматривает возможность проведения расследования в отношении применения полупроводнико...

Проверка компании Boeing выявила десятки проблем в производстве Boeing 737 Американское Федеральное управление гражданской авиации (ФАУ) провело проверку производственных линий Boeing, результаты которой выявили, что авиационный гигант мог сознательно игнорировать нормы безопасности производства и тем самым поставить под угрозу жизнь пассажиров.

Компания «Лазерные системы» займется 3D-печатью деталей двигателей и газовых турбин Санкт-Петербургский разработчик и производитель промышленных 3D-принтеров «Лазерные системы» откроет центр аддитивных технологий на базе собственного производства на площадке «Нойдорф» особой экономической зоны в Стрельне. Предприятие планирует наладить аддитивное производст...

КМЗ запускает производство 3D-принтеров для литейной отрасли Кингисеппский машиностроительный завод планирует собрать не менее двадцати 3D-принтеров для изготовления литейной оснастки к 2027 году. Производство начнется со следующего года, оборудование полагается на технологию струйно-порошковой 3D-печати песчаными смесями (Binder Jett...

Apple отмечает 48-летие 1 апреля 2024 года Apple отмечает свое 48-летие. Компания, основанная в гараже Стива Джобса, прошла долгий путь и стала одной из самых влиятельных и инновационных в мире. За эти годы Apple совершила революцию в индустрии персональных компьютеров, представив первый Macin...

США готовят новые санкции против связанных с Huawei китайских технологических компаний Вашингтон готовит новые санкции, чтобы ограничить развитие искусственного интеллекта и производство полупроводников в Китае. В центре внимания оказался телекоммуникационный гигант Huawei.

Нидерланды начали мешать ASML поставлять оборудование в Китай Нидерландская компания ASML, крупнейший производитель литографического оборудования, необходимого в том числе для производства интегральных схем, заявила о приостановке экспорта части оборудования в Китай. Это связано с санкциями США.

HPE и Nvidia создали суперкомпьютер для ИИ: революция в скорости и масштабе Hewlett Packard Enterprise (HPE) представила свое суперкомпьютерное решение для генеративного ИИ. Это готовое решение, предназначенное для крупных предприятий, исследовательских институтов и государственных организаций, включает в себя программный стек AI/ML, ведущие в отрас...

MediaTek готовит к выпуску Dimensity 9400 с продвинутыми возможностями ИИ в конце 2024 года В конце 2024 года MediaTek представит свой первый 3-нанометровый процессор Dimensity 9400, разработанный с учетом передовой литографии TSMC

От телефонов до электромобилей: как себя проявит рост стоимости цветных и драгметаллов Цены на драгоценные металлы, которые используются в производстве электроники, значительно выросли за последние пять лет. Например, стоимость меди за этот период увеличилась на 66%, подскочив от $5 000 за тонну в 2020 году до $8 300 в 2024. В результате этих изменен...

Финансовый директор NVIDIA намекает на партнерство с Intel Foundry Services Финансовый директор NVIDIA Колетт Кресс (Colette Kress) намекнула на возможность привлечения NVIDIA третьего партнера по производству полупроводников

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Российский ИТ-вендор «Инферит» выводит на рынок новые линейки СХД для корпоративного сегмента Российский вендор «Инферит», специализирующийся на разработке и производстве компьютерного оборудования и ПО, представил новые линейки корпоративных систем хранения данных.

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

LiveDrop: революция в передаче файлов с помощью инновационной беспроводной технологии LiveDrop – инновационная технология передачи файлов, которой не нужны ни сети, ни интернет. LiveDrop, в частности, обеспечивает совместимость между различными операционными системами, такими как iOS и Android.

Intel готовится к производству процессоров по 2-нм нормам – чипы на базе Intel 18A появятся в 2025г Глава Intel рассказал о планах компании на несколько лет

Ростех импортозаместил фрезерные станки для авиа- и оборонной промышленности Холдинг «СТАН» Госкорпорации Ростех представил новые модели фрезерных станков, предназначенных для предприятий авиационной, двигателестроительной и оборонно-промышленной отраслей. Серийное производство оборудования будет налажено на стерлитамакском НПО «Станкостроение».

Pantum продемонстрировал новинки на IT Partners 2024 Ведущий поставщик оборудования для печати Pantum представил свои новинки на выставке IT Partners 2024. Среди представленных разработок — новая серия монохромных лазерных принтеров 22PPM A4, цветных лазерных принтеров 20PPM A4 и цветных светодиодных принтеров 30PPM A3. Это ме...

В РФ запустят производство оборудования 5G Производством оборудования для внедрения мобильных сетей пятого поколения в России займется дочерняя компания МТС. 

Raytheon и AMD обещают революцию в военных технологиях благодаря новому проекту Raytheon в сотрудничестве с AMD запускает проект по разработке мульти-чипового пакета для улучшения обработки данных в военных датчиках.

АвтоВАЗ представил новую мягкую многослойную панель для Lada Vesta Президент АвтоВАЗа Максим Соколов и ключевые руководители на этой неделе посетиле отдел производства пластмассовых изделий, в котором завершился процесс переноса оборудования из Ижевска. В частности, им представили обновлённый цех и новую мягкую многослойную панель для...

Canon начнет выпуск установок для нанопечатной литографии уже в этом году Компания Canon готовится к выпуску своей машины для нанопечатной литографии FPA-1200NZ2C в этом году. Хироаки Такеиши, председатель совета директоров и генеральный директор компании, сообщил о планах начать поставки в 2024 году, подчеркнув простоту и экономичность производст...

TSMC анонсировала новую технологию производства микросхем CoW-SoW TSMC представила прорывную технологию создания сверхбольших микросхем методом 3D-интеграции всей кремниевой пластины.

Феномен PlayStation. Как Sony совершила революцию в мире видеоигр и победила в войне консолей На заре 1990-х годов в мире игровых консолей было всего два имени: Sega и Nintendo. Была конечно ещё Atari, но к тому моменту, выиграв спор по поводу прав на Тетрис, Nintendo оставила её далеко позади. И в то время никто не мог предвидеть, что компания по производству бытов...

TSMC добивается грантов на $5 млрд для строительства завода полупроводников в Аризоне TSMC, Intel, Micron и Samsung ведут борьбу за господдержку своих проектов по созданию производств полупроводников на территории США.

TSMC объявила о планах строительства трех заводов по производству 2-нм чипов Компания TSMC планирует упрочить свои позиции на глобальном рынке по производству полупроводников последнего поколения

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

NYT о секретном военном Project Maven с ИИ, который должен был помочь ВСУ против ВС России Тайный американский Project Maven должен был произвести революцию в современной войне. Но конфликт на Украине показал, что новые американские технологии работают не так успешно, как на это надеялся Пентагон.

Bloomberg: Столкновение США и Китая обостряется из-за блокировки ASML Официальный представитель МИД КНР Ван Вэньбинь отметил, что своими действиями Вашингтон наносит серьезный удар по международному производству полупроводников

Tata Group инвестирует $0,5 млн в завод по производству чипов в Ассаме Tata Group подала заявку на создание завода по переработке полупроводников в Ассаме.

Samsung начнет пилотное производство полупроводниковых стеклянных подложек в этом году Samsung готовится начать пилотное производство полупроводников на стеклянных подложках в этом году, конкурируя с Intel и другими компаниями

Абу-Даби вложит $100 миллиардов в индустрию искусственного интеллекта Будет создан специальный фонд, который будет вкладываться в развитие искусственного интеллекта, полупроводники и основные технологии и приложения ИИ

Билл Гейтс предсказывает, что 2024 год станет "годом ИИ" Билл Гейтс предсказывает революцию в мире технологий - по его словам, 2024 год станет "годом ИИ". Эксперты предупреждают о возможной угрозе для многих профессий.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Canon предлагает клиентам оборудование нового типа для производства 5-нм чипов Оно пока не попадает под санкции США и Японии, направленные против Китая.

Perplexity хочет произвести революцию в поиске в интернете и обогнать Google Поисковая система Perplexity хочет предложить более точные результаты поиска для клиентов. Революция поиска произойдет благодаря большим языковым моделям.

Samsung хочет избавиться от людей на своих заводах по производству чипов к 2030 году Конечной целью Samsung является создание полностью беспилотных заводов по производству полупроводников к 2030 году.

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Ученые превратили солнечную энергию в топливо с помощью полупроводников Ученые Химического факультета Университета Северной Каролины использовали полупроводники для сбора и преобразования солнечной энергии в высокоэнергетические соединения, способные производить экологически чистые виды топлива.

В России разработали новую технологию создания деталей для квантовых технологий Российские учёные разработали технологию производства микросхем для квантовых технологий

Cognizant запускает платформу на базе ИИ, которая произведет революцию в разработке ПО Cognizant запускает платформу Gen AI для революции в области разработки программного обеспечения.

CD-диск на шейдерах и NameDrop в iOS 17 Шейдеры отлично подходят для симуляции материалов. В обычных интерфейсах мы управляем только цветом, но эффект размытия в iOS — пример материала, который совершил революцию в мобильных интерфейсах.Описать метериал через шейдер сложно, а создать динамичный эффект — ещё сложне...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

ASUS представила два профессиональных монитора ProArt PA32KCX и PA32UCDM ASUS ProArt PA32KCX и PA32UCDM, разработанные для профессионального использования, предлагают новейшие технологии в сфере производства мониторов.

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Новый лазерный 3D-принтер от Eplus3D принимает до шестидесяти четырех излучателей Китайский производитель промышленных 3D-принтеров представил крупноформатную аддитивную систему EP-M2050 по технологии лазерного порошкового синтеза на подложке (PBF) с использованием металлопорошковых композиций. Для повышения производительности новое оборудование оснащаетс...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Ведущие китайские компании терпят убытки на фоне санкций США Стремление Китая к самообеспечению полупроводниками сталкивается с суровой реальностью: ведущие компании по производству микросхем, такие как Loongson и Cambricon, сообщили об огромных убытках за 2023 год.

Google представил новый феноменальный ИИ для генерации видео Lumiere Компания Google представила свой новый ИИ для создания видео под названием Lumiere. Это не коммерческий продукт, а исследовательский проект для отработки инновационных технологий. На текущем этапе он не имеет ограничений, которые накладывают законодательство и общественные н...

Микрофабрики за $300000 способны произвести революцию в строительстве Британская компания Automated Architecture представила новую оригинальную технологию строительства. Методика заключается в использовании роботизированных микрозаводов, а одними из главных стремлений разработчиков стали снижение зависимости строительной отрасли от дефицита ра...

Samsung инвестирует $44 млрд в производство чипов в Техасе Компания Samsung Electronics намерена более чем удвоить свои инвестиции в производство полупроводников в Техасе. Если первоначальны инвестиции корейского производителя составляли $17 млрд, то теперь они вырастут до $44 млрд. Об этом сообщило издание Wall Street Journal. В…

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

В России запустят производство оборудования 5G Одна из дочерних компаний МТС начнёт производство оборудования для связи нового поколения

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Компания EVE Energy представила аккумулятор Mr. Big и систему Mr. Giant С учетом глобальной повестки по пиковым выбросам углекислого газа и углеродной нейтральности вырос спрос на крупномасштабные хранилища энергии длительного срока службы. Компания EVE Energy представила свою технологию CTT еще в октябре 2022 г., и стала одной из компаний-перво...

Компания NEARITY представила новое ВКС-оборудование для образовательных учреждений и конференц-залов Компания NEARITY, производитель и поставщик инновационных решений для видеоконференцсвязи, представила в России новые устройства для оснащения переговорных комнат, конференц-залов и аудиторий, — микрофонный массив A31S и комплект потолочных микрофонов AMix140 KIT. Благодаря ...

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Официально: Lada Granta может получить новую версию АвтоВАЗ рассматривает возможность расширения линейки автомобилей Lada Granta за счет добавления бюджетных вариантов без подушек безопасности. Об этом сообщили представители компании в пятницу, подчеркнув, что эти автомобили полностью соответствуют действующим в России н...

Тайвань показывает зубы: производители чипов сталкиваются с ограничениями Тайвань усиливает защиту ключевых технологий страны. Так, правительство Тайваня обнародовало список из 22 технологий в пяти секторах производства (оборона, космос, сельское хозяйство, полупроводники и информационная безопасность ), которые будут дополнительно защищены от ут...

Yangtze Memory Company выпустила память QLC с ресурсом как у TLC Молодая китайская компания Yangtze Memory Company совершила прорыв в производстве твердотельных накопителей. Представлены чипы памяти X3-6070 3D QLC NAND с возможностью перезаписи до 4000 раз, что сравнимо с более дорогими моделями на основе TLC. Это достижение позволит сниз...

Российские ученые разработали новый экологический материал для оптоэлектроники Ученые из Санкт-Петербургского государственного электротехнического университета "ЛЭТИ" и Института физики полупроводников Сибирского отделения РАН разработали новый экологический материал для оптоэлектроники. Эта технология отличается высокой эффективностью и экологической ...

Sun Microsystems: легенда Кремниевой долины, совершившая революцию и канувшая в Лету Sun Microsystems произвела настоящую революцию в сфере вычислительной техники. Всего за десять лет она из небольшого стартапа превратилась в лидера отрасли, выпустила более 10 млн устройств и вошла в рейтинг крупнейших мировых компаний Fortune Global 500. Рассказываем, как е...

Производство полупроводников в Южной Корее показало самый большой рост за последние 14 лет Одним из основных факторов роста вновь выступило развитие искусственного интеллекта

Американские чиновники надеются, что оборудование для производства 7-нм чипов в Китае рано или поздно деградирует И новое китайские производители нигде купить не смогут.

Snapdragon 8 Gen 3 обещает революцию в производительности Android-флагманов 2024 года Qualcomm представила свой новейший процессор Snapdragon 8 Gen 3, предназначенный для улучшения производительности и энергоэффективности флагманских смартфонов на базе Android

Новый процессор Snapdragon X Elite станет причиной революции в мире Windows-компьютеров На прошлой неделе, в рамках мероприятия Snapdragon Summit, компания Qualcomm представила миру свой новый 64-битный 12-ядерный процессор Snapdragon X Elite.

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

Ростех усовершенствовал производство оптического волокна Эксперты холдинга «Швабе» Госкорпорации Ростех модернизировали оборудование для производства оптического волокна. Это позволило создать образцы с усовершенствованными характеристиками, которые обеспечивают высокое качество передачи сигнала.

Россия локализует производство оборудования для промышленного майнинга В России задумались о производстве оборудования для майнинга

КНР удваивает усилия по созданию своих станков для производства полупроводников На фоне проводимой Вашингтоном политики ограничения доступа Пекина к современным западным станкам, необходимым для развития полупроводниковой промышленности, Китай к концу 2024 года намеревается на 80 % заменить их на отечественные.

Роскосмос представил новый трамвай «Кастор» На Усть-Катавском вагоностроительном заводе, входящем в Госкорпорацию «Роскосмос», разработали трехсекционный вагон модели 71−639 «Кастор», который представили в Екатеринбурге. Губернатор Свердловской области и мэр города совершили пробную поездку на новом трамвае, оценив ег...

Samsung начнет использовать отходы от производства полупроводников для обогрева Подразделение DS компании Samsung Electronics подписало соглашение о сотрудничестве с Южнокорейским региональным теплоснабжающим обществом для использования отходов от производства полупроводников в качестве источника тепла для обогрева.

Сбер представил платформу голосового ИИ для революции в обслуживании клиентов Сбер представил новейшую платформу голосового искусственного интеллекта, которая станет новым решением для компаний, стремящихся повысить уровень продаж и обслуживания клиентов.

Свет сделал обычные материалы магнитными: новый квантовый скачок Значительный прорыв в области квантовых технологий совершили международные ученые. Впервые в истории им удалось успешно вызвать магнитные свойства у немагнитного материала при комнатной температуре с помощью света. Это открывает путь в будущее, где появятся более быстрые ком...

Tesla планирует построить новый завод по производству аккумуляторов для электромобилей Оборудование для предприятия будет закуплено у китайской компании Contemporary Amperex Technology Co. Ltd.

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Представлены PHOLED-экраны. Что в них особенного? Американская компания Universal Display подготовилась к производству компонентов на основе технологии PHOLED.

Starlink подключает 4G-смартфон к своим спутникам Компания Starlink под руководством Илона Маска совершила впечатляющий технологический прорыв: пиковая скорость загрузки данных со спутника на обычный сотовый телефон достигла 17 Мб/с. Эта инновация открывает новые возможности для доступа в Интернет, устраняя необходимость в ...

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Microsoft хочет улучшить процесс производства Surface с помощью ИИ Microsoft в своём блоге подробно рассказала о том, как команды Surface и Azure использовали облачные технологии высокопроизводительных вычислений для революции в процессе проектирования новых устройств линейки Surface. Заявляется, что это позволило уменьшить затраты и сокра...

Министерство торговли США аннулирует лицензии Intel и Qualcomm на поставки чипов Huawei В рамках усилий по ограничению технологического влияния Китая, Министерство торговли США полностью аннулировало лицензии Intel и Qualcomm на экспорт полупроводников для Huawei, что серьезно ударяет по возможностям китайской компании в производстве электроники.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Да, Huawei снова смогла, несмотря на санкции. SoC Kirin 9010 в новых смартфона Pura70 — это действительно новая платформа с 12-ядерным CPU Представлен суперфлагман Huawei Pura70 Ultra. Он получил выдвижной объектив, дюймовый датчик и цену почти 1400 долларов Huawei сегодня представила смартфоны флагманской линейки Pura70, которые получили новую SoC Kirin 9010. Подробностей о ней изначально не было, вплоть...

Представлен первый в мире Android-планшет с экраном из цветных «электронных чернил» Bigme InkNoteX Color — новый планшет, который обещает стать революцией в мире электронных книг.

Alan Wake 2 полностью неиграбельна на устаревших и слабых видеокартах Новые технологии в сфере графики требуют обновления оборудования

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Представлен жесткий диск Seagate Exos объемом 30 ТБ с технологией Mozaic 3+ Seagate, американская компания по хранению данных, представила новый жесткий диск Exos объемом 30 ТБ, использующий технологию Mozaic 3+.

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

iPhone 17 может получить новое антибликовое стекло повышенной прочности Согласно источнику, оборудование для нанесения нового покрытия уже настроено, но технология не будет готова к моменту выпуска iPhone 16, что делает ее эксклюзивной для iPhone 17.

Huawei построит новый завод по производству смартфонов и другого оборудования во Франции Несмотря на американские санкции, многие западные страны хотят расширить сотрудничество с Китаем

Правительство США выделило $ 1.5 млрд для поддержки производства полупроводников Администрация президента США объявила о выделение $ 1.5 миллиарда американской компании GlobalFoundries, ставшего одним из первых крупных получателей грантов в рамках программы по оживлению производства полупроводников в стране.

"Закон о чипах" заставляет азиатских производителей полупроводников переносить свои мощности в США Закон о чипах привлекает инвестиции в производство полупроводниковой продукции на территории Америки, обещая 39 миллиардов долларов США в виде льгот на производство в дополнение к 25%-ным инвестиционным налоговым кредитам.

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

В России создали систему контроля безопасности на производстве В пресс-службе Новосибирского государственного технического университета (НГТУ) сообщили, что ученые вуза создали прототип системы, которая с помощью компьютерного зрения будет следить за соблюдением норм безопасности на производстве.

Южная Корея планирует стать лидером в отрасли искусственного интеллекта Правительство Южной Кореи объявило о масштабных планах по развитию собственного производства полупроводников

Представлен маршрутизатор D-Link Aquila Pro AI M30 с уникальным дизайном Бренд сетевого оборудования D-Link представил новый маршрутизатор Aquila Pro AI M30 с уникальным дизайном.

США «сделают всё возможное», чтобы Китай не получил доступ к новейшим микросхемам и технологиям США приложат все усилия, чтобы помешать Китаю получить доступ к американским технологиям в области полупроводников и искусственного интеллекта (ИИ), о чем пишет Bloomberg. «Мы не можем позволить себе, чтобы Китай пользовался нашими самыми передовыми техн...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

20 ГБ ОЗУ, 22000 мА•ч, 75 дней в режиме ожидания, быстрая зарядка, IP69K/MIL-STD-810H — представлен неубиваемый планшет Fossibot DT2 Fossibot представляет защищенный планшет Fossibot DT2, утверждая, что он должен совершить революцию в своём сегменте. Fossibot DT2 может похвастаться 12 ГБ оперативной памяти LPDDR4X в сочетании с 256 ГБ флеш-памяти UFS3.0. Оперативную память можно расширить до 20 ГБ, е...

Microsoft представит «локальный ИИ на ПК» и другие технологии в мае Стало известно, что уже в мае Microsoft представит новые ИИ-функции, расширяющие возможности виртуального Windows-помощника Copilot. Известно, что будут представлены улучшенные функции копирования и вставки на основе ИИ, а также «умная» технология AI Explorer.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Серверы из линейки vStack-R, как альтернатива оборудованию западных вендоров В современном мире, где время является ценным ресурсом, обеспечение доступности и надежности серверных решений становится ключевой задачей. В начале 2022 года, когда на рынке наблюдался дефицит полупроводников и чипов, мы поставили перед собой цель предложить качественное и ...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

ChatGPT-4 производит революцию в изучении языков и способен заменить репетитора Технологии дошли до того момента, когда чат-боты в интернете обучают людей, при том гораздо дешевле, чем репетиторы

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

Россия вдвое увеличивает план выпуска крылатых ракет морского базирования «Калибр» Министру обороны РФ было доложено, что по производству крылатых ракет морского базирования «Калибр», несмотря на увеличение плана в два раза, предприятие выполнило нормы гособоронзаказа (ГОЗ) уже на 17%, сообщили в министерстве.

«Авантелеком» представила ПО для проприетарного оборудования Cisco и Avaya На международном форуме Kazan Digital Week 2023 сервисный интегратор в сфере корпоративной IP-телефонии ООО «Авантелеком» рассказал о собственном решении для проприетарного оборудования Cisco и Avaya. Технология импортозамещения сократит срок внедрения с 6 до 2 месяцев и поз...

Компас смартфона позволяет на удивление точно измерять уровень сахара в крови Специалисты Национального института технологий и стандартов США нашли новое применение магнитометру, который используется в мобильных устройствах в качестве компаса. Теперь с его помощью можно практически «на коленке» оценить уровень сахара в крови и провести другие исследов...

В России создали защиту от водородного разрушения оборудования В пресс-службе Национального исследовательского университета «МЭИ» сообщили, что ученые вуза представили новые технологии для защиты оборудования от водородного разрушения. Они разработали барьерные покрытия на основе хрома, которые снижают проницаемость поверхности металлов...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Первый в мире беспилотник с вращающимся детонационным двигателем успешно испытали в Китае Первый китайский дрон, оснащенный двигателем, использующим детонацию для разгона до беспрецедентной скорости, совершил успешный первый полет на аэродроме в провинции Ганьсу. Вращающийся детонационный двигатель FB-1 Rotating Detonation Engine (RDE FB-1) был разработан со...

Европа удваивает производство полупроводников Евросоюз принял Закон о чипах, призванный стимулировать развитие европейской микроэлектроники и снизить зависимость от азиатских производителей полупроводников. Институт статистических исследований и экономики знаний НИУ ВШЭ ...

Прицел на мировой рекорд: в России анонсировали первый в мире стратосферный прыжок на Северный полюс Русское географическое общество и Федерация космонавтики России представили крупный арктический проект — первый в мире стратосферный прыжок на Северный полюс. Пресс-служба рассказала: Российская команда продолжит традицию в освоении полярных широт и докажет лидер...

Безводная гидроэлектростанция: появился новый способ хранения энергии Новый метод хранения энергии, получивший название «безводное гидроаккумулирование», способен произвести революцию в области хранения возобновляемых источников энергии. В отличие от традиционных насосных гидроаккумуляторов (PHES), использующих водохранилища, в этой технологии...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Заверните два! Представлен журнальный столик NXTABL со встроенным 4K-телевизором на Android Революция в домашних развлечениях.

Должен ли QA уметь писать код Привет! На связи Антон Тарасов, руководитель группы тестирования мобильного приложения Тинькофф. В течение последних десяти лет я был инженером и руководителем в направлениях QA, Scrum-Master, Delivery Manager и Project Manager. Постараюсь ответить на вопрос: должен ли ...

Китаю на освоение EUV-литографии потребуется не менее четырёх лет А то и все пять, и это в лучшем случае.

SpaceX запустила лучший в мире Falcon 9 в 19-й раз, а дрон Just Read the Instructions принял 75-ю посадку Ракета-носитель Falcon 9 слетала в космос и успешно вернулась уже в 19-й раз, а морской дрон «Просто прочтите инструкции» (Just Read the Instructions) успешно принял 75-ю посадку. Ракета с номером B1060 вступила в строй в июне 2020 года и к настоящему времен...

TSMC запустит 6-нм полупроводниковый завод в Японии По имеющимся данным, Taiwan Semiconductor Manufacturing Company (TSMC) планирует к 2027 году начать производство 6-нанометровых полупроводников на своем втором заводе в Кумамото (Япония). Для поддержки этого предприятия японское правительство рассматривает возможность предос...

Ахиллесова пята блокчейна: эксперт рассказал об «атаке 51 процента» Технология блокчейн произвела революцию в сфере транзакций, но она не является непобедимой. В ней таится серьезная угроза — атака 51%. В статье HackerNoon обозреватель решил разобраться с ней подробнее.

На MWC2024 представлено решение Intelligent Factory от Huawei     В ходе Международной выставки мобильных технологий MWC 2024, на заседании, посвященном решениям Huawei для производства и крупных предприятий под девизом «Погружение в отраслевую специфику для создания более обеспеченного, экологичного и умного будущего», компания Hua...

Началось серийное производство газовых «Ситимаксов» Павловский автобусный завод (ПАЗ), входящий в «Группу ГАЗ», приступил к серийному производству автобусов ПАЗ-422320-14 «Citymax-9», работающих на компримированном природном газе (КПГ).  Прототип газового «Ситимакса» был впервые ...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Shanghai Electric представил новые решения на Всемирном саммите по энергетике будущего 2024 в Абу-Даби Shanghai Electric Power Generation Group, ключевая дочерняя компания Shanghai Electric, специализирующаяся на производстве энергетического оборудования, проектировании и обслуживании электростанций, продемонстрировала свое передовое энергетическое оборудование и решения для ...

Крупнейший завод по переработке пластика в России открыли в Подмосковье В Московской области состоялось официальное открытие крупнейшего в России завода по переработке пластика «ЭкоЛайн-Вторпласт» и завода по производству готовых изделий из вторичной гранулы «ЭкоПласт» группы «ЭкоЛайн». Об этом сообщается...

Российские учёные упростили производство противовирусных препаратов В России улучшили технологию производства противовирусных препаратов

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

В российских автомобилях может появиться «жидкая кожа» Как сообщает «Автопоток» со ссылкой на Минпромэнерго Саратовской области, НПП «Полипластик» из города Энгельс запустило промышленное производство «жидкой кожи» на основе термоэластопласта. Компаунд используется дли изготовления тонкос...

В России создали технологию для улучшения бурильных труб В пресс-службе Саратовского государственного технического университета имени Гагарина Ю. А. (СГТУ) сообщили, что ученые вуза разработали методику моделирования цилиндрических панелей из пористого материала. Новая технология значительно улучшит качество материалов для произво...

ASML предоставила свой новый аппарат для литографии в крайнем ультрафиолете Модель Twinscan NXE:3800E будет отличаться от предыдущих моделей большей производительностью, давая возможность обрабатывать до 220 пластин в час

Производство индивидуальных эндопротезов СамГМУ прошло проверку Росздравнадзора Научно-исследовательский институт бионики и персонифицированной медицины Самарского государственного медицинского университета, занимающийся разработкой и производством индивидуальных и серийных эндопротезов с использованием технологий 3D-печати, прошел проверку Федеральной ...

В России «возродят» советскую технологию производства микросхем Российские учёные из Новосибирска запустят советскую технологию, которая удешевит производство микросхем

Nestlé добавляла «нездоровый» объем сахара в детские продукты… в бедных странах Новое расследование выявило тревожные двойные стандарты в практике компании Nestlé по производству детского питания. В то время как продукция компании в странах с высоким уровнем дохода соответствует санитарным нормам и не содержит добавленных сахаров, те же самые продукты, ...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Производство OLED-панелей для iPad Pro приведет к изменениям в iPhone 16 Apple сделала значительные улучшения в своей технологии производства OLED-панелей для своих устройств, включая iPad Pro и iPhone.

ИИ совершает революцию в облачных сервисах Недавно компания Huawei представила свое инновационное решение Pangu Model 3

Samsung окончательно избавилась от акций ASML, которые покупала в 2012 году Делалось это ради освоения EUV-литографии всеми участниками рынка.

Правительство Китая активно поддерживает и субсидирует Huawei, делая из компании основного игрока полупроводникового рынка Ресурс Bloomberg опубликовал большую статью, рассказывающую о том, как правительство Китая различными способами помогает Huawei не только оставаться на плаву под санкциями США, но и активно развиваться.  Фото: TechInsights и Bloomberg reporting К примеру, жур...

LG переходит на OLED и прекращает производство ЖК-дисплеев В рамках стратегического решения, компания LG Electronics объявила о прекращении производства ЖК-дисплеев и полном переходе на технологию OLED.

Ученые нашли новый метод создания мощных батарей Исследователи из Квинслендского университета совершили прорыв в разработке безопасных и дешевых батарей на основе цинка. Технология позволит создавать аккумуляторы для гаджетов, которые будут в 3 раза мощнее и не станут взрываться.

Logitech анонсировала беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED с технологией KEYCONTROL Компания Logitech представила новую беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED, разработанную в сотрудничестве с профессиональными киберспортсменами. Уникальная технология KEYCONTROL позволяет настраивать тактильный отклик клавиш, а сверхбыстрая беспроводная связь о...

TSMC начинает производство чипов для суперкомпьютера Tesla Dojo Тайваньский гигант полупроводниковой промышленности TSMC приступил к производству высокоинтегрированных чипов по технологии CoW-SoW для суперкомпьютера Tesla Dojo, предназначенного для обучения систем искусственного интеллекта.

«Росатом» освоил технологию изготовления нового оборудования для комплекса по переработке ТРО Руководитель проекта "КП РАО» АО «СвердНИИхиммаш" Сергей Коржавин: "СвердНИИхиммаш» разработал аналог с модернизацией, учитывая опыт эксплуатации прессового оборудования предыдущих модификаций. Данные решения позволили нам выпустить новый продукт на рынок России и не зависет...

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

"Ил" внедряет технологию 3D-печати для производства оснастки для сборки самолетов Ил-76МД-90А В ульяновском филиале ПАО "Ил" – "Авиастар" внедрена технология 3D-печати для производства оснастки из композитных материалов для сборки самолетов Ил-76МД-90А

Lam Research собирается локализовать производство оборудования во Вьетнаме Бизнес в Китае становится вести всё сложнее.

Можно окончательно попрощаться со знаменитой функцией Touch ID от Apple Сообщается, что оборудование для производства необходимых для Touch ID iPhone чипов было окончательно отключено.

i3D планирует построить завод по производству 3D-принтеров в Татарстане Группа компаний i3D (НПО «3Д Интеграция») намеревается в течение восьми лет организовать производство аддитивных систем для 3D-печати металлами, керамикой и полимерами с годовыми объемами почти в триста 3D-принтеров. Помимо промышленного аддитивного оборудования планируется ...

Новые технологии Новые технологии - это то, без чего невозможно представить современный мир. Они окружают нас повсюду: в быту, на работе, в транспорте и даже в медицине. Благодаря новым технологиям мы можем быстро и удобно решать многие задачи, которые раньше казались невозможными.

В США один из худших показателей сроков строительства промышленных объектов. Это влияет и на постройку заводов по выпуску полупроводников США уже несколько лет придерживаются стратегии постройки на своей территории новых фабрик по производству полупроводников и не только. Оказалось, при этом в США одни из самых долгих сроков строительства таких объектов.  создано DALL-E Исследователи CSET оценили пр...

"Росатом" разворачивает новую эру в аддитивных технологиях с запуском промышленных 3D-принтеров "Росатом" запустил в серийное производство девять среднегабаритных 3D-принтеров для промышленного использования, использующих технологию SLM. Улучшенная модель RusMelt 310M, внесенная в реестр Минпромторга как отечественный товар, обещает покрыть значительную долю потребност...

Intel пока не запустила в работу оборудование для выпуска чипов по технологии 14A, но это сделала ASML И не в США, а в Нидерландах.

Airbus EcoPulse завершил исторический полет на гибридной установке Airbus в сотрудничестве с Daher и Safran совершила прорыв в авиационной промышленности, совершив первый полет демонстрационного самолета EcoPulse с гибридно-электрической распределенной силовой установкой.

Nissan начнёт лить электромобили по технологии Tesla для удешевления производства Японский автопроизводитель Nissan объявил о планах внедрить технологию гигакастинга для удешевления производства автомобилей, в том числе электрических моделей.

Новая технология охлаждения улучшает производительность MacBook Air Компания Frore Systems из Сан-Хосе представила инновационную технологию охлаждения AirJet Mini, которая значительно увеличивает производительность MacBook Air с чипом M2

Предприятие «Росэлектроники» увеличивает производственные мощности за счет нового отечественного оборудования Концерн «Созвездие» холдинга «Росэлектроника» завершил ввод в эксплуатацию на фабрике радиоэлектронной аппаратуры новых отечественных многофункциональных обрабатывающих центров. Модернизация производства позволит на 50% нарастить мощность по токарным работам и на 40% по фрез...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

TSMC якобы не торопится с внедрением оборудования для High-NA EUV Экстремальное ультрафиолетовое излучение (EUV) с высокой числовой апертурой (High-NA). Компания Intel Foundry Services (IFS) станет одним из первых производителей полупроводников, которые начнут работать на новейшем оборудовании ASML

В России создали ускоритель плазмы для межпланетных миссий Специалисты Росатома совершили настоящий прорыв – они создали «сердце» плазменного ракетного двигателя, которое позволит России совершить огромный шаг вперед в освоении космоса.

Несмотря на запреты США, Китай нарастил импорт чипового оборудования вдвое Новый доклад Конгресса США показал, что несмотря на запрет экспорта передовых технологий, Китай сумел вдвое нарастить закупки оборудования для производства чипов в 2023 году.

MAINGEAR представила на выставке CES 2024 новую технологию управления кабелями MG-RC MAINGEAR переосмысливает эстетику и производительность игровых ПК с помощью технологии управления кабелями MG-RC

Infinix представила новую технологию охлаждения CoolMax для игровых смартфонов Технология способна охладить чипсет практически на 10 градусов по Цельсию.

Премьер-министр Моди одобрил покупку 97 истребителей за $700 млн Премьер-министр Индии Нарендра Моди совершил полет на легком истребителе индийского производства Tejas

Арктический НОЦ разработал стандарт аддитивных технологий для судостроительной отрасли Новый ГОСТ охватывает принципы сертификации в производстве судовых деталей методами 3D-печати. Согласно предлагаемому регламенту, утверждаться будет та или иная разработанная аддитивная технология для судостроения, а не отдельные материалы — это поможет ускорить внедрение.

Apple прекращает производство чипов для Touch ID Apple отказалась от Touch ID в пользу технологии распознавания лиц Face ID, когда представила iPhone X в 2017 году. В то время как Android-устройства предлагают функции распознавания как отпечатков пальцев, так и лица, Apple постепенно полностью отказывается от Touch ID в…

В Москве появился новый завод медицинского оборудования Заместитель мэра Москвы по вопросам экономической политики и имущественно-земельных отношений Владимир Ефимов сообщил, что компания «Элта», которая является резидентом особой экономической зоны (ОЭЗ) «Технополис Москва», завершила строительство нового завода на производство ...

Астраханский ученый разработал новую технологию сварки металлов Старший преподаватель кафедры технологии материалов и промышленной инженерии Астраханского госуниверситета имени В. Н. Татищева Радмир Рзаев создал инновационную технологию сварки разнородных металлов. Она позволит получать сварные швы с улучшенными характеристиками и удешев...

Нейросетевая революция в метеорологии. Как машинное обучение может навсегда изменить прогноз погоды 14 ноября 2023 года команда Google Deepmind официально представила мировой общественности свою модель прогнозирования погоды – GraphCast. Её особенность заключается в том, что она способна рассчитывать погоду на 10 дней вперёд без понимания «физики» атмосферных процессов. Уч...

В России планируют начать производство базовых станций 5G в 2024 году Этим займется принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея»

В Томске начнут производить станции связи 4G и 5G Российская компания «Иртея» запустит производство оборудования для связи форматов 4G и 5G

Обзор RangeVision Pro 2 быстрее, легче, точнее! В продажу поступил новый 3D сканер российского производства RangeVision Pro 2. В этой статье рассказываем о новинке и ее особенностях! RangeVision - российский производитель профессионального оборудования для 3D измерений. Компания разрабатывает и создает 3D сканеры метроло...

Toyota установила абсолютный рекорд по производству и продажам автомобилей в августе Toyota Motor сообщила, что в августе мировое производство её машин выросло на 4% по сравнению с тем же периодом годом ранее, до 798 771 автомобиля, что стало рекордом для последнего летнего месяца за всю историю компании. Внутреннее производство крупнейшего в мире автоп...

Росатом начал тестирование нового оборудования в Северске На опытно-демонстрационной площадке проекта «Прорыв» в городе Северск, Томская область, начались испытания уникального оборудования для производства инновационного ядерного топлива. Это событие отметили на международном форуме «Атомэкспо-2024», который проходит в федеральной...

Nokia выпустила стильный Wi-Fi 7 роутер Компания Nokia представила новый продукт в своей линейке сетевого оборудования — роутер Beacon 24, который поддерживает новейший стандарт Wi-Fi 7.

Арктический российский дата-центр спустят с Ил-76 на дрейфующую льдину  Команда провайдера RuVDS поделилась интересной новостью. Сейчас в компании работают над экспериментом  по развертыванию серверного оборудования в Арктике. Как сообщили в пресс-службе, серверное оборудование будет сброшено с борта Ил-76. Сгенерировано нейросетью Da...

IBM представила новые версии технологии FlashCore Module и Storage Defender Технология FlashCore Module использует искусственный интеллект для мониторинга и обнаружения аномалий, связанных с кибератаками, в реальном времени

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Цветы в технологиях: инновационные способы использования цветов в производстве и инжиниринге Цветы, помимо своего эстетического значения, находят применение в различных областях, включая технологии и инжиниринг. Инновационные способы использования цветов в производстве и инжиниринге открывают перед нами новые перспективы и возможности. Они помогают не только создава...

Южнокорейская Sapeon представила превосходящую всех конкурентов ИИ-микросхему Компания Sapeon, поддерживаемая южнокорейской SK Group, вышла на арену ИИ-микросхем, представив свое последнее творение - Sapeon X330. Утверждая, что этот чип, превосходящий конкурентов по вычислительной производительности примерно в два раза и по энергоэффективности в 1,3 р...

K8sGPT: Революция в управлении кластерами Kubernetes Стремительно растущая конфигурация K8s с современными трендовыми технологиями AI продолжает видоизменять трансформацию способов управления инфраструктурой и приложениями. В этой статье мы более детально обратимся к платформе K8sGPT, которая занимает центральное место в перев...

Китай решил привлечь более $ 27 миллиардов для развития полупроводников Китай готовит более $ 27 миллиардов для своего крупнейшего фонда для полупроводников, ускоряя разработку передовых технологий в ответ на кампанию США по торможению его роста. Национальный фонд интегральных микросхем собирает капитал от местных правительств и государстве...

Корабелка передала заказчикам еще четыре 3D-принтера для печати металлами В ноябре Институт лазерных и сварочных технологий (ИЛИСТ) Санкт-Петербургского государственного морского технического университета (СПбГМТУ) отгрузил четыре промышленные аддитивные системы собственной конструкции. Роботизированное оборудование работает по технологии прямого ...

Китайский рынок оборудования для производства чипов обретает для японских поставщиков первостепенное значение И санкции этому не столько мешают, сколько помогают.

Омский НИИ будет выпускать до 3000 м² печатных плат в год Омский НИИ приборостроения, входящий в состав "Росэлектроники", благодаря модернизации оборудования значительно увеличит производство печатных плат до 3000 м² в год.

Северокорейксие хакеры взломали производителей чипов из Южной Кореи Хакеры из Северной Кореи взломали как минимум два южнокорейских бренда оборудования для производства чипов.

Россия увеличит производство вооружений и боеприпасов в 2 раза Сообщается, что представители российского ВПК получат порядка 450 единиц станков, аппаратов и другого оборудования

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

В Китае разочарованы вмешательством США во внутреннюю политику третьих стран по литографии Сообщается, что американские санкции сильно повлияли на китайскую SMIC.

Представлен современный битопливный автомобиль с газовым оборудованием российской сборки. Первая партия уже готова «Автотор» и «Газпром газомоторные системы» представили новую версию BAIC U5 plus. Этот автомобиль оснащен газобаллонным оборудованием и был произведен на заводе в Калининградской области. Для этой модели было использовано современное газовое обо...

Корабль VG Holdings совершил первую в 24 году 11-ую миссию Впервые все четыре места на борту VSS Unity были заняты частными астронавтами и начато производство многоразовых космолётов следующего поколения класса «Дельта»

В Windows появится свой аналог DLSS и FSR. Технологию Microsoft DirectSR представят уже через месяц Технология Microsoft DirectSR (Super Resolution) будет представлена на мероприятии GDC 2024, которое стартует через месяц.  Напомним, о технологии стало известно пару недель назад, а теперь информация появилась непосредственно на сайте GDC. DirectSR представляет с...

Китай приступает к серийному производству 5-нм кристаллов Китай в ближайшее время планирует развернуть серийное производство 5-нм чипов по технологии SAQP

Раньше строили на базе КамАЗа, а теперь на базе китайского FAW. Представлен новый автокран с грузоподъемностью 40 тонн Камышинский крановый завод (ККЗ) объявил о запуске производства новых автокранов с грузоподъемностью 40 тонн, используя в качестве базы китайский грузовик FAW СА3310 (J6 8X4). До этого завод строил подобные краны исключительно на базе российских автомобилей КамАЗ. Новые...

Выставки Rosmould & 3D-TECH и Rosplast 2024 пройдут 18-20 июня Продукцию представят отечественные и иностранных производители пресс-форм и штампов, поставщики оборудования и материалов для производства полимерных изделий, в том числе методами 3D-печати. В деловую программу выставок войдут выступления ведущих отраслевых экспертов, готовы...

В России создали новую технологию производства анимации с помощью ИИ Российские разработчики из Московского физико-технического института (МФТИ) представили инновационную нейросеть, позволяющую упростить и ускорить процесс создания анимированных роликов и мультфильмов. Эта технология, названная Anix, обладает способностью создавать все промеж...

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

Cerabyte: технология оптического хранения считается очень надежной и прослужит 5000 лет С помощью Cerabyte немецкая компания хочет произвести революцию на рынке долгосрочного хранения данных. Для этого используются стеклянные пленки с керамическим покрытием, на которые наносится лазерная надпись и которые считаются нечувствительными к процессам старения и возде...

Тольяттинская компания запустит производство филаментов из композиционных материалов Резидент технопарка «Жигулевская долина» 3DVolk (ООО «3ДВолк Технологии») вошел в инновационный центр «Сколково» с проектом по разработке технологии производства высокотемпературного полимерного композита для 3D-печати.

Японская Rapidus получит $3,9 млрд субсидий на закупку оборудования И разработку передовых технологий упаковки чипов.

Аналог Toyota Highlander от Huawei с запасом хода 1300 км бьёт рекорды: уже 80 000 человек заказали Aito M7 Новая версия кроссовера Aito M7, разработанная Huawei и Seres , получила более 80 000 заказов с момента начала приема заказов 12 сентября. Ранее производитель сообщил, что на обновленную модель поступило более 40 000 заказов. Более 80% заказов выбрали 5-местную версию,...

Под Владимиром появился центр для импортозамещения деталей и оборудования Российская компания «Аби» запустила инжиниринговый центр во Владимирской области для производства деталей и оборудования, замещающих импортные аналоги. Создание новой структуры поддерживается нацпроектом «Наука и университеты» и предполагает инвестиции в размере 187 миллионо...

Росатом создает российско-белорусский центр аддитивных технологий Центр будет оснащен 3D-принтерами производства Росатома и займется производством комплектующих для белорусских предприятий.

Delta Computers и ИнфТех представили высокопроизводительный программно аппаратный комплекс (ПАК) для диагностики оборудования промышленных предприятий на основе технологий искусственного интеллекта Delta Computers и ИнфТех создали отечественное решение для реализации цифровых систем высокой ответственности для промышленных предприятий на базе цифровой платформы ДатаПлат и программного комплекса iPredicta и серверных продуктов Delta Tioga Pass и Delta Argut.

Следующее поколение графических ускорителей Nvidia может потреблять до 1000 ватт Об этом сообщает компания Dell, специализирующаяся на производстве серверного оборудования

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Спутники Starlink совершили 25 000 маневров предотвращения столкновений всего за 6 месяцев В космосе становится тесно. Спутникам Starlink пришлось совершить 25 000 маневров предотвращения столкновений за 6 месяцев — и дальше будет только хуже

Роботы и японская система защиты от ошибок. АвтоВАЗ рассказал, как собирают новый мотор 1.8 EVO АвтоВАЗ уже рассказывал, как собирается кузов Lada Vesta, а сейчас предприятие рассказало, как осуществляется сборка нового мотора 1.8 EVO. Изображение: АвтоВАЗ Сборочная линия двигателя состоит из 17 постов, и на них заняты «самые квалифицированные сотрудники пр...

NVIDIA представила технологию G-SYNC Pulsar Компания NVIDIA представила новое поколение технологии переменной частоты обновления Variable Refresh Rate (VRR), которая значительно увеличивает резкость изображения в динамических сценах благодаря мерцанию с переменной частотой.

МТС запустит технологию XGS-PON для сверхскоростного домашнего интернета Испытание оборудования на узле связи в Москве подтвердило техническую готовность МТС подключать в 2024 году к сверхбыстрому интернету квартиры в новых жилых комплексах при наличии договоренности с застройщиками.

В России появится наказание за дипфейки Минцифры совместно с МВД и Роскомнадзором разработают нормы правового регулирования дипфейков. Результаты работы ведомства представят до 1 ноября 2024 года.

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Холдинг Ростеха начал поставку новое оборудование для суперкомпьютеров Холдинг «Росэлектроника» госкорпорации Ростех начал поставки обновленного оборудования для создания суперкомпьютеров. Новая модификация стала более компактной и способной объединять серверы в мощные вычислительные кластеры для сложных расчетов. Первыми заказчиками нового обо...

Бывший российский завод Ford прошёл модернизацию Бывший российский завод Ford прошёл модернизацию. На этом предприятии вместо Transit выпускают лёгкие грузовики Sollers Atlant (переименованные JAC Sunray). Модернизации подверглось подвесное оборудование для перемещения кузовов по линии шасси (хангеры). После улучшения...

США влияют на союзников с целью запрета обслуживания оборудования для производства чипов в Китае Только так можно будет добиться успеха в санкциях, по мнению чиновников.

Чиновники США готовы опубликовать точный список китайских предприятий, находящихся под санкциями Это упростит работу американским поставщикам оборудования для производства чипов.

Выручка TSMC начнёт расти в первом квартале 2024 года, остальным участникам рынка придётся ждать дольше Спрос на передовую литографию восстановится быстрее, чем на зрелую.

«Самый востребованный в России легкий коммерческий автомобиль». АвтоВАЗ выпустит 80 машин Lada Largus до мая На заводе «Лада Ижевск» до конца этой недели соберут опытную партию Lada Largus по полному циклу производства. Как сообщает главный редактор журнала «За рулем» Максим Кадаков, первыми будут пять коммерческих фургонов, а всего до мая будет со...

Эксперты призывают компании, производящие аккумуляторы, внедрять технологии нового поколения. Аккумуляторы китайского производства на выставке в Мюнхене, Германия.

NVIDIA прекращает производство видеокарт без поддержки трассировки лучей Отныне все GPU будут обладать новейшими технологиями

Российские учёные разработали новую технологию производства зубных имплантов В России разработали технологию производства керамических зубных имплантов

Лукашенко отменил НДС при ввозе не имеющего аналогов в стране оборудования Президент Белоруссии Александр Лукашенко подписал указ об отмене налога на добавленную стоимость (НДС) при ввозе уникального оборудования, которое не имеет аналогов в стране. Целью указала является поддержка и стимулирование создания новых производственных линий. Информ...

Революция в криминалистике? Искусственный интеллект обнаружил, что отпечатки разных пальцев одного человека на самом деле не уникальны Искусственный интеллект, похоже, помог совершить революцию в криминалистике. Оказалось, что наши знания об уникальности отпечатков пальцев были ложными.  Всем известно, что каждый человек имеет уникальные отпечатки пальцев. Менее известный факт, который тем не мен...

В ДГТУ исследуют 3D-биопечать мясных продуктов Ученые кафедры «Биоинженерия» Донского государственного технического университета занимаются разработкой технологии производства мясных продуктов из выращенных в лабораторных условиях животных клеток с применением технологий 3D-печати.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

AAEON представила две новые системы искусственного интеллекта - BOXER-8653AI и BOXER-8623AI AAEON является пионером в области промышленного ИИ-оборудования и имеет статус Elite member of the NVIDIA Partner Network

В этом году искусственный интеллект будет влиять на развитие технологий больше, чем когда-либо Будь то игры, мобильные телефоны или инновации в области энергетики. Изменения, связанные с искусственным интеллектом на рабочем месте, вскоре ощутят и работники умственного труда, такие как журналисты или юристы, которые избежали IT-революцию последних десятилетий.

Россияне получат "невозможный" интернет на скроростях до 10 Гбит/с по технологии XGS-PON Правда такой доступ будет "не только лишь у всех" из-за дефицита оборудования

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

Первые отечественные мосты для КамАЗов К5 будут произведены во втором квартале 2025 года Директор автозавода КамАЗ Антон Сарайкин рассказал, когда начнется выпуск отечественных мостов для отечественных грузовиков КамАЗ К5. Случится это еще не скоро, но КамАЗ уже ведет активные работы по подготовке к этому. Изображение: КамАЗ «Основная идея проекта &n...

Представлен ноутбук Colorful Colorfire Meow R15 Китайский производитель компьютерного оборудования Colorful официально представил игровой ноутбук Colorfire Meow R15.

Sapeon разработала новый полупроводник без памяти для обработки центров данных Sapeon X330 начнет массово производиться в первой половине 2024 года

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Ростех запустил серийное производство модулей управления для беспилотников Госкорпорация "Ростех" объявила о начале серийного производства инновационных модулей управления для беспилотных летательных аппаратов (БПЛА), что является значительным шагом в развитии отечественных технологий в этом направлении. Новые модули повысят эффективность применени...

Созданы VR-перчатки для получения тактильных ощущений от прикосновений в виртуальной реальности Компания Fluid Reality представила инновационные перчатки для виртуальной и дополненной реальности, которые обещают революцию в VR-ощущениях.

Xinhua Silk Road: Shaanxi Blower Group на Hannover Messe 2024 представила свои новейшие технологии В Ганновере прошла Международная выставка инноваций и промышленных технологий Hannover Messe 2024. В этом году выставка привлекла около 4.000 участников из около 60 стран и регионов мира, причем 30% от их общего числа составили китайские экспоненты. Компания Shaanxi Blower (...

Terraform Industries перерабатывает электричество и воздух в синтетический природный газ Компания Terraform Industries представила технологию дешёвого производства экологически чистого синтетического газа из воздуха и электроэнергии.

Поставщики оборудования для производства микросхем сообщают о выросших продажах в КНР Китайские компании стараются перестраховываться на случай более жёстких санкции со стороны США

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

В России началось производство жидкой кожи, которая будет использоваться в интерьерах автомобилей НПП Полипластик объявило, что инвестиции в оборудование и инфраструктуру в 2023 году превысили отметку в 300 миллионов рублей

IWD 2024: Усиление роли женщин в производстве промышленного и энергетического оборудования   В свете празднования Международного женского дня в 2024 году Shanghai Electric отмечает вклад женщин в сектор производства промышленного и энергетического оборудования за последний год, подчеркивая инициативы по защите прав, заботе о жизни и развитию навыков для созда...

АвтоВАЗ принял новое решение по LADA Vesta: производство панели приборов перенесут Большая задержка с перезапуском производства Lada Vesta была обусловлена переносом всего необходимого оборудования из Ижевска в Тольятти. Но, как оказалось, перенесли не всё: панели приборов по-прежнему производили в Ижевске, а в Тольятти осуществляли лишь досборку комп...

УАЗ «Патриот» получит обновленный двигатель уже в декабре. Что заменили? Автомобили УАЗ получат обновленный двигатель с другими звездочками привода газораспределительного механизма (ГРМ). «Коломенский завод порошковой металлургии» в городе Коломна Московской области запустил серийное производство комплектующих для новых двигателе...

AMD и BlackBerry стали партнёрами. Компании будут продвигать роботизированные системы следующего поколения Компании AMD и BlackBerry объявили о заключении партнёрства.   В своём пресс-релизе он объявили об объединении усилий по продвижению роботизированных систем следующего поколения. В документе сказано, что новые платформы реального времени на базе системы AMD Kria K...

Компания Kings 3D продемонстрировала новые промышленные 3D-принтеры Новинки включают аддитивное оборудование по технологиям селективного лазерного сплавления металлопорошковых композиций (SLM), струйно-порошковой печати металлами (Binder Jetting), скоростной лазерной стереолитографии (HSLA) и прямого подвода энергии и материалов (DED).

Samsung Electronics Co. сообщила о запуске новой исследовательской лаборатории полупроводников Данное учреждение будет заниматься разработкой чипов для искусственного интеллекта

Silicon Box планирует инвестировать до 3,6 миллиарда долларов в строительство нового завода Компания Silicon Box планирует инвестировать до 3,6 миллиарда долларов в строительство нового завода по сборке и тестированию полупроводников в Северной Италии

Почти монополия: Китай ограничивает экспорт не только редкоземельных металлов, но и технологий по их переработке Китай принял решение существенно ограничить экспорт технологий, связанных с добычей, разделением и производством редкоземельных металлов. С 1 августа 2023 года КНР запретили вывоз за рубеж галлия и германия (а чуть позже и графита), а теперь последовало новое правило с запре...

Asahi Kasei создала чип… обнаружения оставленных детей в машинах Чип от Asahi Kasei обещает совершить прорыв в обнаружении оставленных без присмотра детей в автомобилях и предотвратить трагедии. Так называемый AK5818 может поступить в массовое производство уже в октябре на волне ужесточения правил по всему миру.

Bitmain представил новый майнер Antminer S21 Pro с воздушным охлаждением Индустрия майнинга набирает обороты. Компании представляют более эффективное оборудование для добычи биткоинов.

Квантовое программирование для диспетчеризации производства Лучший способ изучить новую технологию это применить ее на практике. Но как быть, если у вас нет квантового компьютера, а на изучение физики нет времени/желания? Это не проблема, потому что сегодня мы разберем наиболее доступный и безболезненный способ погружения в квантовые...

Яркость, контрастность и дистанция до 150 метров: Cactus представил новую линейку проекторов Бренд расходных материалов и офисного оборудования Cactus представил новое семейство проекторов, в которое входит пять моделей. От прежних устройств (например, того же Cactus PRM.05B) их отличают проекционная система, яркость, контрастность и акустические...

Индия укрепляет свою позицию в космической отрасли: Изменения в правилах привлекут глобальных инвесторов Индия обновила правила в космическом секторе, чтобы привлечь глобальных инвесторов и компании, после того как четыре года назад открыла его для частных компаний. Новая политика прямых иностранных инвестиций повышает ограничения на иностранные инвестиции, что должно прос...

Правительство РФ готовит законопроект по новым нормам кибербезопасности Изменения коснутся работы хостинг-провайдеров.

В России начали выпускать новые дизельные моторы: 6 цилиндров, 360 л.с. и ресурс 1 млн километров Ярославский моторный завод «Автодизель» запустил в серийное производство рядные шестицилиндровые моторы ЯМЗ-537. От предшественников серии ЯМЗ-536 они отличаются увеличенным с 6,65 л до 7,7 л объемом, повышенной до 360 л.с. мощностью и более высоким крутящим...

США потребовали от компании ASML Holding NV отменить отправку своего оборудования в Китай Администрация Байдена стремится изолировать Пекин от передовых технологий

В России освоили производство сварочных аппаратов необходимых для строительства ледоколов В Крыму создали уникальное оборудование для сварочных швов, способных выдержать экстремальные морозы -40°С и даже ниже.

Представлены полностью беспроводные наушники Baseus Bowie MA10s Baseus, хорошо известная компания по производству потребительской электроники, представила новые наушники Baseus Bowie MA10s.

Фрезерные станки с ЧПУ: возможности, области применения и рекомендуемое оборудование. Обзор от 3Dtool Всем привет. С вами компания 3Dtool!Технология фрезерования играет важнейшую роль в современной промышленности и зачастую просто незаменима в прецизионном производстве. Рассказываем о возможностях фрезерных станков с ЧПУ и делимся примерами оборудования для малого и среднего...

НАСА тестирует новую батарею — решение изменит электрический воздушный транспорт НАСА достигло важной вехи с новой технологией, которая может произвести революцию в авиаперевозках.

Российские учёные улучшили технологию производства чёрного фосфора Учёные ЛЭТИ разработали новую технологию контроля производства перспективного компонента электроники — чёрного фосфора

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Обзор iPhone 15 Pro – революция или маленький шаг вперед? Подробный обзор нового Apple iPhone 15 Pro (Эпл Айфон 15 О) - технические характеристики, фото, цена, сравнение с iPhone 14 Pro, видеообзор

Китай запустил крупный завод с уникальной технологией производства этанола China Daily: компания Shaanxi Yanchang Petroleum Group в сентябре запустит на северо-западе Китая самое масштабное в мире производство этанола из каменного угля.

Asus представила на выставке CES новое высококлассное компьютерное оборудование без видимых кабелей Видеокарты серии RTX Super и материнские платы Z790 присоединились к линейке устройств без видимых кабелей.

Huawei начнет строительство завода во Франции, несмотря на ограничения в использовании 5G Компания Huawei планирует построить завод для производства оборудования мобильных сетей во Франции.

Huawei начнет строительство завода во Франции, несмотря на ограничения в использовании 5G Компания Huawei планирует построить завод для производства оборудования мобильных сетей во Франции.

Международная выставка рельсового транспорта и производства оборудования 2023 г. в Чжучжоу    В Международном выставочном центре Чжучжоу проходит Китайская международная выставка рельсового транспорта и производства оборудования 2023 года («Экспо»), на которой была представлена «Сянцзянская декларация о двухэтапной стратегии достижения углеродной нейтральности в сфер...

Компания HZO продемонстрировала полностью водонепроницаемые компьютерные проекты Технология нанесения покрытий с использованием парилена, позволяет защитить оборудование от воздействия влаги

Запуск космического корабля Cargo Dragon к МКС с продовольствием и оборудованием отложили Запуск грузового корабля Cargo Dragon к Международной космической станции (МКС) отложили, о чем сообщило Национальное управление США по аэронавтике и исследованию космического пространства (NASA). «NASA и SpaceX теперь планируют запуск не ранее 22:01 по времени Во...

В России возобновят строительство мусороперерабатывающего завода под Казанью ИНТЕРФАКС: компания "РТ-Инвест" подписала контракт c китайской корпорацией Chongqing Sanfeng Covanta Environmental Industry Co., LTD. на производство ключевого оборудования для завода по термической переработке отходов в Татарстане

В следующем году Huawei откроет завод во Франции Стало известно о планах китайской компании Huawei построить и запустить завод по производству оборудования для сетей мобильной связи. Строительство должно начаться в 2024 году.

Петербургские теплоэнергетики занимаются 3D-печатью запчастей для котельных Государственное унитарное предприятие «Топливно-энергетический комплекс Санкт-Петербурга» запустило производство запасных частей оборудования теплоисточников на 3D-принтерах.

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Cтарые ядра Corte-A75, поддержка только Wi-Fi 5 и eMMC. Представлена формально новая платформа MediaTek Helio G91 Компания MediaTek представила новую однокристальную систему семейства Helio без поддержки 5G. Новинка называется Helio G91.  Это решение для недорогих смартфонов без поддержки сетей пятого поколения. К сожалению, ядра в основе новой платформы лежат вовсе не новые....

Российские ученые разработали метод для работы с алмазом в микроэлектронике Группа ученых из Санкт-Петербурга представила методику использования алмазов как полупроводников в микроэлектронике. Этот метод позволяет создавать компоненты, способные работать в критических условиях, включая космос.

ИИ-чатботы взломали с помощью ASCII-картинок Исследователи из Вашингтона и Чикаго представили ArtPrompt — новую технику, позволяющую обходить меры безопасности в популярных больших языковых моделях (LLM), таких как GPT-3.5, GPT-4 и других. Метод, подробно описанный в научной статье «ArtPrompt: ASCII Art-based Jailbreak...

Sheffield Forgemasters разработала новую технологию для производства мини-АЭС Британская промышленно-металлургическая компания Sheffield Forgemasters разработала новую технологию изготовления корпусов реакторов для малых атомных электростанций (мини-АЭС).

Samsung и SK Hynix отказались от продажи старого литографического оборудования Южнокорейские компании Samsung Electronics и SK Hynix отказались от любых продаж старого литографического оборудования, используемого для производства чипов. На это повлияли торговые санкции США.

США заполучили TSMC, а теперь и Samsung. Штаты выделят корейской компании 6,6 млрд долларов в виде субсидии В рамках нового закона CHIPS США выделит компании TSMC субсидию в размере 6,6 млрд долларов на постройку фабрик. Теперь стало известно, что аналогичную субсидию получит и Samsung.  фото: Samsung В отличие от истории с TSMC, тут пока подробностей немного. Субсидия,...

Цикл статей от технолога по запуску печатных плат в производство: от выбора материалов до поиска ошибок проектирования Нормы проектирования печатных плат зафиксированы в ряде многостраничных спецификаций, которыми пользуются специалисты. При этом есть нюансы, о которых можно узнать лишь на практике. Проводником в непростой мир изготовления печатных плат для вас станет Александр Патутинский, ...

5-минутная зарядка для электромобилей: Polestar представила прототип Израильская технологическая компания StoreDot, известная своей идеей пятиминутной зарядки электромобилей, в партнерстве с Polestar представила первый полномасштабный прототип, в котором реализована технология экстремальной быстрой зарядки (XFC) StoreDot. Массовое производств...

Fort Telecom представил глобальное обновление V2X-устройств и первый в России web-интерфейс для мониторинга и настройки V2X-оборудования Несмотря на промежуточную нумерацию, обновление включает функционал, который переводит на новый уровень доступность V2X в России.

Microsoft представила новый API DirectSR Super Resolution для DirectX Для геймеров это означает, что они смогут использовать апскейлинг в любой игре, независимо от используемого оборудования

Представлен кондиционер будущего, которому не нужны компрессор и хладагент В мире кондиционирования воздуха наступает новая эра. Европейские ученые из Люксембургского института науки и технологий представили прототип кондиционера, работающего на основе нового «электрокалорического» материала.

Представлен игровой ноутбук Gigabyte Aorus 17 2024 Компания Gigabyte Technology представила ноутбуки Gigabyte Aorus 17 2024 и Aorus 15 2024, которые отличаются лишь диагональю экранов – 17 и 15 дюймов соответственно. Новинки оснастили панелями с разрешением QHD, кадровой частотой 240 Гц и технологией Dolby Vision, новыми пр...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Учёные Росатома создали новый метод производства лекарства от онкозаболеваний Российские учёные улучшили технологию получения изотопа галия, используемого в лечении рака

Индонезия запустила серийное производство новейшего танка Tiger Данная техника стала первым современным средним танком, имеющим передовые технологии

Efficient Computers получил финансирование в размере $16 млн от венчурной компании Eclipse Они представили свою инновационную архитектуру под названием "Fabric Architecture", которая обещает быть в 100 раз более эффективной, чем существующие варианты. Архитектура Efficient Computers нацелена на революцию в сегменте маломощных вычислений.

Финансовый кризис не помешает Германии субсидировать строительство фабрик Intel и TSMC Правительство Германии намеревается продолжать поддержку создания новых заводов по выпуску полупроводников

Создатели электрических УАЗов представили клон Mercedes-Benz G-Class, который может питать электроинструмент Компания MV Motors представила новую модель электрического внедорожника под названием Spartan 2.0 EV, созданного на базе Force Gurkha. Ранее компания сотрудничала с Ульяновским автозаводом по проекту электрических УАЗов. Force Gurkha выпускается в 2008 года, модель...

В России представили первый сервер отечественного производства на базе процессоров Intel Xeon нового поколения Российская компания разработчик и производитель IT-оборудования Delta Computers представила Delta Serval, первый в России сервер на базе процессоров Intel Xeon Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids. Как подчеркнули в пресс-службе, он по...

Как мы оцифровывали каждый шаг производства, чтобы завод точно знал, что, как и когда делать Подручный сталевара берёт пробу химсостава металла на установке печь-ковш Привет с Новолипецкого металлургического комбината! В крупном проекте самое ценное — данные. В нашем случае — технологические карты производства и параметры всех изделий и агрегатов: как и что мы дел...

Представлен ирригатор Xiaomi Mijia F400 Xiaomi представила новый портативный зубной ирригатор Mijia F400, который предлагает технологию Cloud Sensation Umbrella Jet Water Flossing.

Исследователи создали новый упаковочный материал на основе бактерий, способных переваривать пластик Революция в упаковке: бактерии против пластика.

В России продают четырехколесный раритет 1922 года выпуска – легендарный Ford T На платформе «Авито Авто» появилось объявление о продаже раритетного автомобиля Ford T 1922 года выпуска. Стоимость знаменитого автомобиля в объявлении составляет 17 000 000 рублей.  Как сообщает автор объявления, у автомобиля был всего один владелец. ...

Представлены новые ноутбуки LG Gram Pro и Gram Pro 360 2024 LG представила серию ноутбуков LG Gram Pro, оснащенную передовой технологией искусственного интеллекта.

Закуп Китаем полупроводникого оборудования вырос на 42% В «полупроводниковом буйстве» Китай стал бесспорным чемпионом, наращивая свои экономические «мускулы» благодаря 42-процентному росту закупок оборудования для производства полупроводников.

ASML сообщила о падении прибыли и заказов Голландский технологический гигант ASML, поставляющий оборудование для производства полупроводников, сообщил о снижении чистой прибыли и заказов вследствие торгового спора между Китаем и Западом.

Си Цзиньпин заявил, что санкции США лишь ускоряют развитие технологий в самом Китае Поднебесная старается как можно скорее перейти на отечественное оборудование и программное обеспечение

РФЯЦ–ВНИИТФ отгрузил первые волоконные лазеры собственного производства Лазеры Всероссийского научно-исследовательского института технической физики имени академика Е. И. Забабахина (РФЯЦ–ВНИИТФ) предназначены среди прочего для применения в отечественных 3D-принтерах по технологии селективного лазерного сплавления металлопорошковых композиций. ...

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

МТС оцифровала крупную промышленную зону в Ефремове За счет установки нового телеком-оборудования в районе крупной промышленной зоны в северной части города теперь можно внедрять современные технологии учета, автоматизации процессов и дистанционного мониторинга.

Росатом представил концепцию полной цепочки производства постоянных редкоземельных магнитов Усилия Росатома и научных партнеров направлены на создание магнитов с замещением дефицитных редкоземельных металлов на более доступные и дешевые, получение магнитов сложной формы с использованием технологий 3D-печати, а также разработку технологий переработки отходов.

КамАЗ представил автобус будущего. КамАЗ-5222 с новым дизайном, несущим кузовом, 290-сильным мотором и «автоматом» пойдет в серию не раньше 2025 года На Международном газовом форуме, который сейчас проходит в Санкт-Петербурге, КамАЗ представил не только новую версию своего внедорожного автобуса КамАЗ-6250, но и совершенно новый пассажирский КамАЗ-5222. Это модель на перспективу: производство начнется не ран...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Моль может помочь в выращивании следующего поколения пандемических вакцин Новая технология производства вакцин описывается как более дешевая, простая и быстрая, чем использование куриных яиц.

Власти США выделили $285 млн на субсидирование создания цифровых двойников в национальном полупроводниковом производстве Это позволит оптимизировать освоение новых технологий при выпуске чипов.

В ПГНИУ открылась лаборатория 3D-моделирования и прототипирования Новая лаборатория позволит студентам Пермского государственного национального исследовательского университета применять технологии 3D-печати при подготовке учебных проектов, а также разрабатывать актуальные технические решения для предприятий Пермского края. Аддитивное обору...

Компания Revopoint анонсировала 3D-сканер Miraco Новый 3D-сканер ориентирован в первую очередь на полностью автономную работу: оборудование по технологии структурированной светодиодной подсветки на операционной системе Android оснащено цветной камерой с разрешением 48 Мп, четырьмя датчиками глубины, восьмиядерным процессор...

GIGABYTE представила серию материнских плат и видеокарт XTREME Prestige Limited Edition Лимитированная серия XTREME Prestige устанавливает новые стандарты в области игрового оборудования

Ученые ускорили химические реакции светом Исследователи из Базельского университета совершили прорыв в фотохимии — процессе, в котором для запуска химических реакций используется свет, а не тепло. Эта инновация обещает более устойчивый и экономичный способ производства всего — от лекарств и пластмасс до удобрений.

В самое сердце цифрового искусства: RTD2023 объединит технологии и арт В пятницу, 8 декабря, российский провайдер цифровых услуг «Ростелеком» впервые проведет собственную ИТ-конференцию Rostelecom Tech Day (RTD2023). На мероприятии будут представлены новые отечественные платформы и решения. Наравне с демонстрацией новых технологий важной частью...

Процессоры будущего могут создаваться из угля В мире полупроводников наступает новая эра, где уголь может занять ключевую роль.

В России планируют приступить к внедрению сетей 5G на отечественном оборудовании Первую партию российского оборудования для 5G хотят произвести в следующем году.

Представлена технология дополненной реальности для окон общественного транспорта Специалисты из Тайваньского научно-исследовательского института промышленных технологий представили интерактивное автомобильное окно с функцией дополненной реальности, призванное оптимизировать культурное просвещение туристов

Запустилось производство смартфона «Р-фон» на операционной системе «Роса Летом текущего года началась подготовка оборудования поверхностного монтажа, тестового оборудования и адаптированной к сборке телефона конвейерной линии, а в сентябре стартовало изготовление первых печатных плат. .

Глава Nammo призывает ЕС перевести производство на военные рельсы Мортен Брандзег, глава оборонной компании Nammo, призывает Европейский Союз уделить больше внимания оборонной промышленности и обеспечить ей приоритетный доступ к критически важному оборудованию и электроэнергии.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Воронежский Центр технологической компетенции аддитивных технологий приглашает на конференцию «3D КонЦентрАТ» Шестая международная конференция «3D КонЦентрАТ» состоится 1 декабря. Посетителям будут представлены более двадцати докладов от экспертов в области цифрового производства — о применении комплексного реверс-инжиниринга и аддитивных технологий в металлургической, машиностроите...

«Серп и Молот» нарастит выпуск деталей для УАЗов На саратовском заводе «Серп и Молот» планируется увеличение производства компонентов для автомобилей УАЗ за счёт модернизации производственного участка. Это стало возможным благодаря государственной поддержке, которая позволила закупить необходимое оборудова...

Новый скафандр SpaceX создан с применением технологий 3D-печати Компания SpaceX сконструировала новые скафандры, на этот раз для выхода в открытый космос. Без аддитивных технологий не обошлось: компания утверждает, что шлемы изготавливаются на 3D-принтерах, при этом производство скафандров со временем планируется поставить на поток для о...

Итоги выставки MITEX 2023 C 7 по 10 ноября 2023 года в московском ЦВК «Экспоцентр» состоялась шестнадцатая международная выставка инструментов, оборудования и технологий MITEX. В этом году в мероприятии приняли участие свыше тысячи компаний.

255-дюймовый телевизор Samsung отображает машины в натуральную величину. Это уже помогает Lucid Lucid Motors сообщила об использовании в работе 255-дюймового телевизора Samsung The Wall, который помогает автопроизводителю быстрее проектировать автомобили, поскольку он настолько велик, что может отображать их в натуральную величину. По словам Samsung, The Wall испо...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

На RTD 2023 представят первые результаты работы нового кластера «Ростелекома» — X-технологии На Rostelecom Tech Day кластер X-технологии представит новые решения цифрового бизнеса на базе венчурного фонда «КоммИТ Кэпитал» и первые результаты его работы.

Decathlon улучшает процесс совершения онлайн-покупок с помощью приложения для Apple Vision Pro Decathlon произведет революцию в розничной торговле с помощью нового приложения смешанной реальности.

Французский стартап представил спутниковый интернет с новой технологией RIS Новаторская технология RIS от французского стартапа может изменить спутниковый интернет, делая его более доступным и энергоэффективным благодаря метаматериалам.

В Китае разработали систему связи NearLink, которая в шесть раз быстрее Bluetooth Компания Huawei официально представила технологию беспроводной связи ближнего действия NearLink, которая может сменить Wi-Fi и Bluetooth. Это детище китайской промышленности — в разработке NearLink участвовало 300 различных организаций. Технология полностью готова и уже внед...

Apple может внедрить в свои будущие продукты технологии искусственного интеллекта Вместо использования облачных сервисов, гаджеты компании смогут использовать генеративные модели искусственного интеллекта на базе встроенного оборудования

HUAWEI успешно испытала свою систему спутников - аналог Starlink Первые результаты испытаний фирменного оборудования компания опубликовала в Weibo, а заодно рассказала о главном преимуществе и недостатке такой технологии

Компания ISPsystem подтвердила совместимость DCImanager с серверами «Сила» В мае 2024 года ISPsystem («Группа Астра») и российский производитель корпоративного ИТ-оборудования «Сила» подтвердили, что работа платформы управления мультивендорной ИТ-инфраструктурой DCImanager полностью соответствует требованиям и стандартам серверного оборудования «Си...

Петрозаводская компания «Лерто» сконструировала 3D-принтер для печати сухими смесями Компактная система предназначена для аддитивного производства малых архитектурных форм. Оборудование автоматически смешивает и регулирует консистенцию растворов с помощью встроенного бетоносмесителя.

Росатом запустил серийное производство промышленных 3D-принтеров Топливный дивизион Росатома запустил в серийное производство девять SLM 3D-принтеров среднегабаритного класса. По оценкам отраслевых экспертов этот объем соответствует более 30% потребности российской промышленности в аддитивном оборудовании на 2024 год.

В Орле запускается производство спутникового оборудования Компания Rubetek получила контракт от оператора РТКомм, на первом этапе будут производить абонентские модемы и центральную земную станцию спутниковой связи.

Сбербанк начал производство собственных серверов для нужд своих дата-центров Собственное производство серверного оборудования позволит банку повысить технологический суверенитет и сократить издержки на оптимизацию.

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Seagate планирует начать производство жёстких дисков объёмом от 30 Тб по новой технологии HAMR Жёсткие диски поступят в продажу в 1 квартале 2024 года

В России снова возвращаются к вопросу о регистрации электросамокатов Если закон примут - новая норма вступит в силу 1 марта 2025 года.

РЖД: Новый туристический поезд с вагоном-сауной готовится к первому рейсу Компания «Российские железные дороги» представила свой новый туристический поезд «Жемчужина Кавказа», который поразит пассажиров роскошным вагоном-сауной. Поезд совершит свой первый рейс 8 мая и будет курсировать между Москвой, Майкопом, Нальчиком, Владикавказом и Грозным.

Российские исследователи превратили сельскохозяйственный отход в экологичное сырье для производства Исследователи из России предложили новую технологию преобразования соломы в экологическое сырье для производства бумаги, картона и биопластика, используя органические растворители.

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

Новый ИИ-инструмент Google Genie поможет создать простую видеоигру Новая модель искусственного интеллекта Google Genie может произвести революцию в игровой индустрии. Модель предназначена для создания простых 2D-игр.

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Инсайдеры раскрыли характеристики «убийцы процессора Apple M2 Ultra» от Qualcomm Компания Qualcomm готовится к революции на рынке ПК с выпуском новой платформы Snapdragon X Elite, оснащённой искусственным интеллектом.

США требуют от Нидерландов ввести полный запрет на обслуживание литографических систем ASML в Китае Американская администрация оказывает давление на Нидерланды, требуя запретить голландской компании ASML обслуживать в Китае оборудование по производству микросхем.

Не всё так просто с луддитами, как кажется Как пишут в энциклопедиях и статьях, луддиты — это некие ретрограды 19 века, противящиеся технологиям, что путем стихийных бунтов с погромами протестовали против прогресса и машин, которые отбирали у них работу в ходе промышленной революции в Англии. Сейчас этот термин испол...

Воздушное такси S4 Joby Aviation совершило первый полет в Нью-Йорке Летательный аппарат S4 eVTOL, разработанный компанией Joby Aviation, совершил первый демонстрационный полет в черте Нью-Йорка. Это случилось после проведения первых тестовых полетов с участием пилота. Главная цель демонстрации – показать, насколько удобными и тихими могут бы...

Революция в автомобильных дисплеях? Huawei представила и сразу начала продавать экраны светового поля Huawei представила экран светового поля (светопольный дисплей) — это новая категория автомобильных дисплеев, которые позволяют отображать экран размером от 35 до 40 дюймов, который по ощущениям находится на расстоянии 3 метров от человека. При этом технология...

Новая технология увеличит пробег электромобилей до 1000 км без подзарядки Исследователи из Университета науки и технологий Южной Кореи представили инновацию, позволяющую интегрировать кремний в аккумуляторы, увеличивая емкость батарей. Это технологическое достижение может привести к тому, что электромобили смогут проехать до 1000 километров без по...

Представлена новая технология солнечных батарей, основанная на свете в помещении Разработчиками была представлена новая технология солнечных батарей, предназначенная для подзарядки таких устройств, как пульты дистанционного управления, за счет использования окружающего света в помещении. Технология была продемонстрирована калифорнийской компанией Ambien...

Новейший беспилотник VT-Naut совершил вертикальный взлет и смог сесть на воду Канадская компания Aeromao представила дрон VT-Naut — устройство вертикального взлета и короткой посадки (VTOSL). В отличие от традиционных дронов, VT-Naut может грациозно приземляться на воду, открывая возможности для морского применения.

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

[Перевод] НАСА подтверждает, что 2023 год был самым жарким за всю историю наблюдений Проанализировав температурные данные 2023 года, НАСА пришло к выводу, что это был самый жаркий год за всю историю наблюдений. Это почти никого не удивит. Если вы живёте в одном из регионов, страдающих от засухи, лесных пожаров или непривычной непогоды, вам не нужно подтвержд...

Представлен новый стилус Apple Pencil со странно расположенным разъёмом USB-C и ценой ниже 100 долларов Компания Apple представила новый стилус Pencil. Новинка поступит в продажу в ноябре по цене 80 долларов.  Новая модель выделяется прежде всего наличием порта USB-C, причём реализован он весьма необычно. Видимо, в списке продуктов Apple с занятно расположенными раз...

Росатом получил лицензию на производство ядерного топлива для «реактора будущего» Ростехнадзор выдал Сибирскому химическому комбинату (АО «СХК», входит в Росатом) лицензию на эксплуатацию ядерной установки модуля по производству топлива для «реактора будущего» БРЕСТ-ОД-300. Этот модуль входит в Опытно-демонстрационный энергоко...

Производство легендарной «Буханки» модернизировали Ульяновский автозавод модернизировал производство СГР или «Буханка», о чем сообщает инсайдерский Telegram-канал «Автопоток». На производстве автомобилей семейства «Буханка»/«Головастик» внедрили роботизированную завальцовк...

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Нидерланды готовы отказывать Китаю в обслуживании оборудования ASML Ранее такого шага от нидерландской компании требовали Соединённые Штаты Америки, чтобы изолировать Китай от передовых технологий

TSMC инвестирует $16 млрд в технологию CoWoS для искусственного интеллекта Компания TSMC, крупнейший в мире литейный завод по производству чипов, объявила в пресс-релизе, что инвестирует 16 млрд долларов в расширение нового завода на Тайване.

Графика Intel догонит Nvidia RTX 40 по эффективности генерации кадров в играх Intel намерена укрепить свои позиции на игровом рынке благодаря новой технологии генерации кадров ExtraSS для XeSS, представленной на выставке SIGGRAPH Asia 2023. Позиционируемая как конкурент Nvidia DLSS 3 и AMD FSR 3, технология XeSS представляет собой аппаратно-агностичес...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)