Социальные сети Рунета
Пятница, 10 мая 2024

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Россия вдвое увеличивает план выпуска крылатых ракет морского базирования «Калибр» Министру обороны РФ было доложено, что по производству крылатых ракет морского базирования «Калибр», несмотря на увеличение плана в два раза, предприятие выполнило нормы гособоронзаказа (ГОЗ) уже на 17%, сообщили в министерстве.

АвтоВАЗ неудержим. Завод запустит в серию 12 новых моделей Сегодня во время запуска опытного производства Lada e-Largus глава АвтоВАЗа Максим Соколов рассказал о планах по выпуску новинок на ближайшие шесть лет: за это время компания запустит в серийное производство 12 новых моделей! И это должно обеспечить АвтоВАЗу лидерство н...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Илон Маск рассказал о планах колонизации Марса Глава компании SpaceX, Элон Маск, представил обновленную программу Starship в своей последней презентации, раскрыв планы на будущие ракеты, включая четвертый испытательный полет и цели на 2024 год

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Steam может появиться на консолях Xbox Глава игрового подразделения Microsoft Фил Спенсер рассказал о планах сделать экосистему Xbox более открытой.

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Илон Маск настоял, что электромобиль Tesla за $25 000 готовится к производству Глава компании еженедельно изучает соответствующие планы.

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

Залужный раскрыл главе Комитета начальников штабов ВС США планы ВСУ на 2024 год Залужный рассказал США о планах ВСУ на 2024 год

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Loongson переходит на 7-нм нормы – новый процессор 3A7000 выпустит китайская SMIC Производство стартует не раньше 2025 года

В России готовятся начать производство недорогих седанов и кроссоверов: переговоры с несколькими партнёрами ведёт Livan Китайская компания Livan ведет переговоры о контрактной сборке своих автомобилей в России, о чем заявил генеральный директор «Ливэн Моторс Рус» Се Цзяо. «Мы склоняемся к переговорам по сборке. С разными каналами мы уже разговариваем по этому вопросу&ra...

Apple готовится существенно обновить компьютер iMac Инсайдеры заявили, что процессор M3 от Apple изначально должен был появиться в этом году с улучшенными характеристиками и более высокой производительностью в рамках новых ноутбуков MacBook Air. Однако по новым данным, которые появились сегодня ночью, запуск передового процес...

[Перевод] Мега-Учебник Flask Глава 4: База данных (издание 2024) Это четвертая часть серии мега-учебника по Flask, в которой я собираюсь рассказать вам, как работать с базами данных. Тема этой главы чрезвычайно важна. Для большинства приложений потребуется поддерживать постоянные данные, которые можно эффективно извлекать, и это...

АвтоВАЗ выпустит Lada Vesta для инвалидов Глава АвтоВАЗа Максим Соколов на совещании в Совете Федерации сообщил о плане выпустить Lada Vesta для инвалидов. Изображение: Lada «В наших перспективных проработках в следующем году — сделать такую модель [для инвалидов] на базе автомобиля Vesta. Это боле...

Глава Redmi рассказал, что у компании пока нет планов по созданию автомобиля «Redmi» Redmi не будет запускать свой собственный электромобиль пока что, так как приоритетом является производство первого автомобиля Xiaomi

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

15 ноября открываются предварительные заказы на смартфоны Meizu 21 и 21 Pro Компания Meizu готовится представить флагманский телефон на базе процессора Snapdragon 8 Gen 3 в этом году.

Samsung анонсировала процессор Exynos 2400 – на AMD RDNA3 и на 70% быстрее Exynos 2200 На мероприятии System LSI Tech Day 2023 компания Samsung рассказала о предстоящей однокристальной системе Exynos 2400. Реальных характеристик производитель не открыл, а вместо этого больше сосредоточился на своём графическом процессоре Xclipse 940 на базе архитектуры RDNA3. ...

NVIDIA прекратила производство видеокарт RTX 4070 Ti и RTX 4080. На сколько их хватит в продаже Накануне стало известно о планах NVIDIA прекратить производство видеокарт RTX 4070 Ti и RTX 4080, поскольку компания готовится к выпуску новых моделей в начале января.

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

"Ростех" выпустит более 500 самолетов до 2030 года На встрече с президентом России, глава госкорпорации "Ростех" Сергей Чемезов обозначил амбициозные планы по производству гражданской авиации. По его словам, до 2030 года планируется производство более 500 самолетов различных моделей, включая 270 лайнеров МС-21, 142 самолета ...

В базе Geekbench появились результаты мобильных процессоров Intel 14 поколения Помимо ключевых технических характеристик, бенчмарк раскрыл их реальные вычислительные возможности, а заодно намекнул на то, в составе каких устройств они готовятся к предстоящему выходу на рынок

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Apple планирует производить 25% всех iPhone в Индии Apple и её поставщики, включая Foxconn, готовятся резко увеличить производство iPhone в Индии, планируя ежегодно выпускать более 50 миллионов единиц в течение следующих 2-3 лет. Этот амбициозный план повысит роль Индии в мировом производстве iPhone, на которую будет приходит...

Названы основные особенности процессоров AMD Ryzen 9000 Компания AMD уже готовится к выпуску процессоров на базе новой архитектуры Zen 5, но не спешит делиться подробностями. Зато это уже сделали инсайдеры

RISC-V шагает по планете: в Китае появилась еще одна компания по производству чипов. Что о ней известно? В том, что в КНР начнет работу новая компания, разрабатывающая RISC-V процессоры, вроде бы нет ничего удивительного. В стране очень мощная отрасль производства электроники, в ней работают тысячи и тысячи компаний. Но глава этого "новичка" - экс-руководитель "дочки" ARM в Кит...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

PlayStation 5 Pro уже готовится к официальному анонсу Буквально на прошлой неделе компания Sony официально анонсировала Slim-версию своей консоли нового поколения PlayStation 5, а уже сегодня появилась первая неофициальная информация о более производительной консоли PlayStation 5 Pro. Стоит сразу отметить, что данная информация...

Первая собранная «Волга» нового поколения появится в середине 2024 Вице-премьер и глава Минпромторга РФ Денис Мантуров, который занимает пост председателя совета директоров АвтоВАЗа, подтвердил планы на запуск производства автомобилей Волга в середине 2024 года в Нижнем Новгороде. Насколько мне известно, в ближайшее время нижегородский...

Глава ДНР Пушилин заявил о планах сделать Донбасс индустриальным сердцем России Пушилин рассказал о планах сделать Донбасс индустриальным сердцем России

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Совфед намерен восстановить производство лифтов в России В России появился план воскрешения лифтовой отрасли промышленности – к началу мая текущего года по указу председателя Совета Федерации РФ Валентины Матвиенко должно быть подготовлено соответствующее предложение. Согласно главе верхней палаты, необходимо обновить и максимальн...

В России восстановят производство препарата "Аспарагиназа" для лечения лейкоза Первый заместитель главы Минпромторга России, Василий Осьмаков, объявил о планах возобновления производства субстанции для препарата «Аспарагиназа». Этот препарат применяется при лечении лейкоза, и его восстановление запланировано на следующий год, пишет ТАСС.

Текущий глава Intel Пэт Гелсингер почти 40 лет назад фактически «расписался» на каждом процессоре Intel 80386, а обнаружили это лишь сейчас Текущий глава Intel Пэт Гелсингер (Pat Gelsinger), как оказалось, «расписался» на каждом процессоре Intel 80386 (или просто Intel 386).  Гелсингер изначально не был управленцем — он был, кроме прочего, одним из основных разработчиков культового п...

Проверка компании Boeing выявила десятки проблем в производстве Boeing 737 Американское Федеральное управление гражданской авиации (ФАУ) провело проверку производственных линий Boeing, результаты которой выявили, что авиационный гигант мог сознательно игнорировать нормы безопасности производства и тем самым поставить под угрозу жизнь пассажиров.

Nvidia не хочет снижения цен на видеокарты RTX 40. Компания снизит производство GPU в преддверии запуска RTX 50 и высвободит мощности для H100 Компания Nvidia якобы уже готовится сокращать поставки GPU поколения RTX 40 в преддверии выхода линейки RTX 50.  Ресурс Quazarzone говорит, что Nvidia уже сообщила своим партнёрам, что поставки графических процессоров резко сократятся. Правда, не уточняется, каких...

Глава Ростеха сообщил о планах по возобновлению производства самолётов ДРЛО А-50 Гендиректор госкорпорации отметил, что в них нуждаются Вооружённые силы РФ и зарубежные покупатели.

Популярные внедорожники Tank не будут собирать в России – их по-прежнему будут привозить из Китая Глава российского офиса бренда Tank Александр Чеховский сообщил, что планов по локализации в России моделей Tank 300 и Tank 500 нет, хотя у Great Wall Motor есть завод в Тульской области. Изображение: Tank «Пока что планов по выпуску автомобилей Tank на заводе не...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Supermicro расширяет решения на основе искусственного интеллекта новыми платформами Компания Supermicro, Inc., поставщик комплексных ИТ-решений для искусственного интеллекта, облачных технологий, систем хранения данных и 5G/Edge, расширяет возможности ИИ благодаря предстоящей поддержке нового NVIDIA HGX H200, созданного на базе графических процессоров с тен...

Canon начнет выпуск установок для нанопечатной литографии уже в этом году Компания Canon готовится к выпуску своей машины для нанопечатной литографии FPA-1200NZ2C в этом году. Хироаки Такеиши, председатель совета директоров и генеральный директор компании, сообщил о планах начать поставки в 2024 году, подчеркнув простоту и экономичность производст...

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

Роскосмос планирует выпускать до 250 космических аппаратов в год Глава Роскосмоса, Юрий Борисов, сообщил о планах госкорпорации увеличить производство космических аппаратов до 250 штук ежегодно, пишет ТАСС.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Google перевезёт производство смартфонов Pixel в Индию Компания Google готовится к производству своих смартфонов Pixel в Индии.

Смартфон на 22000 мАч не желаете? А Oukitel его все равно выпустит Компания Oukitel готовится к выпуску WP19 Pro, прочного мощного аппарата с умопомрачительным аккумулятором емкостью 22 000 мАч. «Монстр» работает на базе процессора Helio G99 SoC, оснащен 12 ГБ оперативной памяти и накопителем емкостью 256 ГБ с возможностью расширения до 2 Т...

Сменивший Стива Джобса на посту главы Apple Тим Кук нашел себе несколько преемников Тим Кук, глава Apple, раскрыл подробности о планах компании на случай его ухода с поста генерального директора.

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

В Сети появились изображения процессоров AMD EPYC 4004 для сокета AM5, включая 3D V-Cache модели Официально о планах представить подобные процессоры неизвестно.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

В прошлом году КамАЗ выпустил 5138 флагманских тягачей КамАЗ К5, план на текущий год – 15 тыс. машин КамАЗ раскрыл точное количество собранных в 2023 году флагманских грузовиков пятого поколения – КАМАЗ-54901. Объем их производства составил 5138 единиц. Производственный план на 2024 год в три раза больше – завод собирается выпустить 15 тыс. КамАЗ К5. Об это...

Новый глава OpenAI рассказал о планах на месяц и опроверг «спор из-за безопасности» как причину увольнения Альтмана Временный гендиректор Эмметт Шир опубликовал соответствующее письмо в Х.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Россия совместно с США построят универсальные стыковочные модули Глава «Роскосмоса» рассказал о планах построить универсальные стыковочные модули совместно с NASA

Самые кошачьи ноутбуки? Colorful готовит мобильные ПК MEOW с процессорами AMD Компания Colorful готовится выпустить ноутбуки семейства MEOW с процессорами AMD.  Полноценный анонс запланирован на 23 февраля, но ноутбуки уже проходят сертификацию. Известно о двух моделях: MEOW R15 24 и MEOW R16 24, оснащённых экранами диагональю 15 и 16 дюймо...

Китай обнародовал план массового производства человекоподобных роботов Согласно плану, опубликованному Министерством промышленности и информационных технологий (MIIT) Китая, эта страна готовится реализовать амбициозный проект массового производства человекообразных роботов в течение двух лет.

Илон Маск поделился планами по увеличению частоты и производительности запусков Starship Генеральный директор компании SpaceX подробно рассказал о ближайших планах

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Ведущие вендоры готовятся к выходу десктопных процессоров Ryzen 9000 на базе архитектуры Zen 5 Согласно информации из рекламных листовок

БелАЗ к 2030 году выпустит гибридный 100-тонный самосвал на водороде, а ушедший из России MAN уже выпускает грузовики MAN hTGX с водородным ДВС Министр промышленности Белоруссии Александр Рогожник на совещании у Александра Лукашенко рассказал о планах БелаАЗа на отдаленную перспективу. Как оказалось, в эти планы входит создание экологически чистого 100-тонного самосвала. Так выглядит традиционный 90-...

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

Без Lada Iskra, но зато с Niva Sport, Lada Aura, двухпедальной Vesta и новым брендом. Раскрыты новинки АвтоВАЗа в 2024 году Сегодня АвтоВАЗ провел пресс-конференцию, на которой подвел итоги работы в 2023 году и обозначил план работ на 2024 год. Этот план включает шесть новых моделей (или модификаций ныне выпускающихся авто). Перечень потенциальных новинок выглядит так: Lada Vesta 1.8 CVT (...

«Абсолютно иной, современный дизайн». АвтоВАЗ наконец выбрал внешность кроссовера на базе Lada Vesta Глава АвтоВАЗа Максим Соколов подтвердил, что завод наконец определился с окончательным дизайном кроссовера на базе Lada Vesta. В данный момент ведётся работа по локализации компонентов для производства этой модели. «Мы зафиксировали дизайн, открыли тендерные проц...

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Завод Hyundai в Петербурге хотят перезапустить как можно быстрее Вице-премьер - глава Минпромторга России Денис Мантуров сообщил подробности о планах на бывший российский завод Hyundai, который переходит российскому владельцу. Фото: РИА Новости / Александр Гальперин Hyundai хочет вернуться и оставить завод за собой, "пожертвов...

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Ещё неанонсированный процессор Snapdragon 8 Gen 3 оказался слабее, чем уже вышедший A17 Pro в iPhone 15 Pro Компания Qualcomm готовится к анонсу своего нового процессора Snapdragon 8 Gen 3.

«Москвич» в 2024 году начнет собирать автомобили по полному циклу Директор по производству автозавода «Москвич» Олег Масляков подтвердил планы предприятия начать полный цикл производства автомобилей марки в следующем году. В рамках такого перехода предприятие активно готовится к запуску мелкоузловой сборки, включая этапы сварки и окраски к...

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

Процессоры Intel 14-го поколения Core 65 Вт для настольных ПК начнут продаваться 8 января Компания Intel готовится расширить линейку настольных процессоров 14-го поколения Core "Raptor Lake Refresh"

Анонсирован процессор AMD Ryzen 7 5700 для платформы Socket AM4 Компания AMD готовится обновить линейку настольных процессоров не только новыми APU серии Ryzen 8000G для платформы Socket AM5, но и несколькими новыми SKU для AM4

Глава МИД Украины Кулеба заявил о выпуске снарядов натовского калибра На Украине начали выпускать снаряды натовского калибра и готовятся к производству морских беспилотных дронов и беспилотных летательных аппаратов большой дальности

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Графические процессоры Intel Arc Battlemage появились в базе данных SiSoftware Sandra Всего база данных на сегодня содержит запись о двух ускорителях со 160 и 192 исполнительными модулями

В России стартует производство автомобилей нового бренда: обещают сразу три модели Forthing Российский автомобильный холдинг «Автотор» готовится к началу выпуска автомобилей марки Forthing в Калининграде в марте текущего года, о чем пишет ТАСС со ссылкой на пресс-службу завода. «На заводе "Автотор" в Калининграде ведется подгот...

Qualcomm выпустит Snapdragon X Elite уже в середине 2024 года Согласно сообщению от главы компании Qualcomm, релиз процессора Snapdragon X Elite состоится в самое ближайшее время. Новый процессор, по словам представителей компании, специально разработан для ноутбуков под управлением Windows и появится на рынке в середине 2024 года. Это...

Tecno POVA 6 Pro появился в базе данных Geekbench Учитывая, что в Geekbench упоминается графический процессор Mali G57, ожидается, что смартфон будет работать на процессоре MediaTek Dimensity 6080

Бывшего главу службы безопасности Twitter уволили из-за «бунта в сфере регулирования» Алан Роза, бывший начальник службы безопасности Twitter, подал иск против Илона Маска, обвинив его в незаконном увольнении. Роза утверждает, что его уволили за сопротивление «крестовому походу» Маска по сокращению расходов, который поставил под угрозу соответствие компании н...

Глава OnePlus раскрыл подробности о цветовых вариантах грядущего флагмана OnePlus 12 Компания OnePlus готовится к запуску своего нового флагмана, OnePlus 12, и глава китайского подразделения компании Ли Жэ раскрыл некоторые подробности о цветовых вариантах устройства.

Qualcomm готовит к релизу Snapdragon 8s Gen 3 Флагманский процессор Snapdragon 8 Gen 3 от компании Qualcomm достаточно длительное время был невероятно популярным решением и является самым мощным чипом для Android-смартфонов на текущий момент. Однако появилась информация о том, что в ближайшее время может появиться облег...

Полмиллиона Lada за год: АвтоВАЗ настроен решительно Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что АвтоВАЗ планирует увеличить производство автомобилей по сравнению с 2023 годом и выпустить не менее полумиллиона машин. «Производственный план будет увеличен почти на четверть, сам „АвтоВАЗ&ldqu...

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

«Москвич» празднует свой первый день рождения. Завод планирует начать поставки машин в другие страны Возрожденный завод «Москвич» празднует свой первый день рождения. Производство городского кроссовера «Москвич 3» стартовало ровно год назад. О достижениях за этот год и о планах на будущее рассказал Олег Масляков, директор по производству автозав...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

В Сети появились спецификации HEDT процессоров Intel Xeon W3500 и W2500 Готовится обновлённая линейка Sapphire Rapids.

Глава Reddit рассказал о планах ввести ИИ-модерацию Администрация Reddit продолжает считать правильным решение о введении платного доступа к API, хотя оно и далось ценой конфликта с частью сообщества — в какой-то момент дошло до восстания модераторов.

В сети появился список ноутбуков ASUS с процессорами AMD следующего поколения на базе Zen 5 Компания ASUS нечаянно рассекретила свои будущие новинки на базе Zen 5.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

ARM-процессоры заинтересовали большее число производителей: у RISC-V проблемы Благодаря своей энергоэффективности и производительности архитектура ARM становится все более популярной в мире разработки микросхем такими крупными технологическими компаниями, как Apple. Так, и AMD, и Nvidia, признали преимущества архитектуры и осваивают производство проце...

Sony выпустит полноценную карманную консоль, запускающую PS5-игры Sony готовится к громкому возвращению на рынок портативных игровых консолей с новым устройством, работающим на базе процессора AMD.

Евросоюз готовится к незаконному изъятию российских активов для спонсирования Украины Глава Еврокомиссии Урсула фон дер Ляйен заявила о планах Евросоюза перевести €1 млрд доходов от российских активов для закупок оружия Украине к 1 июля, что является нарушением международного права и попыткой дестабилизировать ситуацию в регионе.

Инсайдер рассказал о характеристиках процессоров Ryzen 9000 Granite Ridge и Fire Range на базе Zen 5 Дебют новинок уже не за горами.

Samsung Galaxy Book 4 Edge протестировали в бенчмарке Сегодня в сети появилась информация о том, что гаджет Samsung Galaxy Book 4 Edge с процессором Qualcomm Snapdragon X Elite был протестирован в синтетическом тесте Geekbench 6, и, согласно предварительным данным, этот ноутбук на операционной системе Windows будет иметь 14-дюй...

Инсайдер рассказал о планах AMD, Intel и Qualcomm в сегменте мобильных процессоров Новый уровень производительности для APU AMD, продукты на трёх разных архитектурах от Intel к 2025 году, интересный чип Qualcomm для ПК.

30 тыс. машин планируют выпустить на бывшем российском заводе Mercedes-Benz в 2024 году Генеральный директор компании «Автодом» Андрей Ольховский дал интервью изданию «Коммерсантъ», в котором рассказал о ближайших планах по сборке автомобилей на бывшем российском заводе Mercedes-Benz. Оказалось, что уже в текущем году по плану ...

Глава WhatsApp подтвердил появление рекламы внутри приложения в будущем WhatsApp готовится к нововведениям в своей бизнес-модели. Уилл Кэткарт, руководитель платформы, подтвердил, что в приложении могут появиться рекламные объявления.

Tesla долго загрязняла воду в Германии, из-за чего её завод могут закрыть О возможной приостановке производства на заводе американского автопроизводителя Tesla из-за явного превышения допустимых норм загрязнения окружающей среды сообщает ТАСС со ссылкой на немецкий телеканал N-tv. Эта информация получена из письма Ассоциации водоснабжения Штр...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Бывший завод Volkswagen в Калуге перезапустят в первой половине этого года По заявлению главы Минпромторга России Дениса Мантурова, перезапуск производства автомобилей на бывшем заводе Volkswagen в Калуге запланирован на первое полугодие текущего года. Об этом министр рассказал в интервью журналистам ТАСС. Напомним, владельцем калужского завод...

Такой логотип появится на новых Lada от АвтоВАЗа. Появилось первое изображение бренда X Появилось изображение торговой марки нового бренда X, который недавно зарегистрировал российский автомобильный завод АвтоВАЗ. Напомним, по данным от предприятия «Автозавод Санкт-Петербург» (бывший завод Nissan), в открытой базе Федерального института промышл...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

В России планируют построить 10 лучших суперкомпьютеров на базе графических процессоров Nvidia H100 План зависит от возможности получения аппаратуры для ускорителя

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

АвтоВАЗ увеличит выпуск автомобилей до 500 тысяч штук Президент АвтоВАЗа, Максим Соколов, объявил о планах компании увеличить производство автомобилей до 500 тысяч в следующем году. На итоговом заседании ООО "СоюзМаш России" в Челябинске он подчеркнул, что текущий план компании на 2023 год составляет около 400 тысяч автомобилей...

В Татарстане появится еще один IT-парк В Зеленодольске, всего в 40 километрах от Казани, появится новый IT-парк Татарстана. Михаил Афанасьев, глава Зеленодольского района, поделился информацией о ходе работ и планах на будущее, пишет ТАСС.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

OnePlus 12 показал в бенчмарке AnTuTu более 2 млн баллов Готовящийся к выпуску смартфон OnePlus 12 на базе Snapdragon 8 Gen 3 появился в бенчмарке AnTuTu, продемонстрировав производительность. И они приятно удивляют.

«Судьба первой партии достойна». Президент АвтоВАЗа рассказал о судьбе кроссовера Lada X-Cross 5 Производство Lada X-Cross 5 в Санкт-Петербурге на бывшем заводе Nissan с помпой стартовало летом прошлого года, однако с тех пор об этом автомобиле официально ничего не говорилось, а инсайдеры указывали на проблемы с партнером – FAW (Lada X-Cross 5 – тот же ...

Джим Райан покинет пост главы PlayStation в марте 2024 года Генеральный директор Sony Interactive Entertainment и глава PlayStation Джим Райан покидает компанию после четырёх лет работы на этой должности и 30 лет работы в SIE в целом. Эта новость впервые появилась в коротком репортаже Джейсона Шрайера из Bloomberg. Джим Райан…

Российские школы и поликлиники оснастят отечественными планшетами Глава Минцифры РФ, Максут Шадаев, объявил на Tadviser Summit о планах начать массовое оснащение российских учителей и врачей планшетами, начиная с 2025 года. Это даст стимул развитию собственного производства в стране, пишет РИА Новости.

Новые подробности о мобильных процессорах Intel Panther Lake Компания Intel готовится к выпуску нового поколения процессоров Panther Lake для настольных компьютеров и ноутбуков

Новые детали о разработке ремейка Silent Hill 2 от студии Bloober Team Сегодня — 27 ноября — польская студия Bloober Team опубликовала обращение к фанатам серии Silent Hill с новой информацией о ходе разработки ремейка Silent Hill 2. По словам членов команды, Bloober Team вместе с Konami «усердно работают, чтобы ремейк достиг высочайшего качест...

Canon совершила революцию в мире полупроводников – что не так с технологией NIL-литографии Canon представила новое оборудование для производства полупроводников по 5-нм нормам

Утечка дорожной карты AMD указывает на то, что первые процессоры Zen 5 появятся в середине 2024 года Появилась новая утечка дорожной карты, в которой утверждается, что планы AMD в отношении Zen 5 будут реализованы ближе к новому году.

Lada Vesta на минималках. Седан Lada Iskra впервые показали на качественных изображениях со всех сторон В базе Федерального института промышленной собственности (ФИПС) появились изображения экстерьера седана Lada Iskra. Именно так будет выглядеть серийный автомобиль. По этим рендерам хорошо видно, насколько Iskra похожа на Lada Vesta, разве что заметно отличается задня...

The Verge: Microsoft уверена, что Windows на Arm сможет победить Apple Компания Microsoft готовится к значительному скачку в мире вычислительной техники, представив на мероприятии в следующем месяце «ПК с искусственным интеллектом». Уверенность Microsoft в том, что она собирается бросить вызов MacBook Air от Apple, оснащенному процессором M3, о...

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Подмосковный завод Mercedes-Benz собираются перезапустить в 2024 году Министерство промышленности и торговли раскрыло свои планы относительно этой простаивающей площадки, выразив намерение возобновить производство автомобилей на бывшей сборочной площадке немецкого концерна. «В этом году должны запустить», — заявил Денис ...

Проект сборки и производства российских автомобилей Lada в Эфиопии находится в стадии проработки В Эфиопии готовятся организовать выпуск автомобилей Lada, соответствующий проект сборки прорабатывается. об этом рассказал посол РФ в Аддис-Абебе Евгений Терехин. Он отметил, что машины Lada хорошо зарекомендовали себя в Эфиопии, доказав свою надежность и неприхотливост...

Массовое производство AR-гарнитуры Apple Vision Pro начнется уже в декабре В сети появилась информация, согласно которой Apple готовится запустить массовое производство своего первого продукта в области смешанной реальности — Vision Pro.

Ставка Ford не сыграла, поэтому компания меняет стратегию после отказа от популярных моделей с ДВС (Mondeo, EcoSport, Fiesta и Focus) и столкновения с низким спросом на электромобили В феврале 2021 года Ford объявил о планах продавать в Европе только электрические легковые автомобили с 2030 года. Однако более медленное, чем ожидалось, внедрение электромобилей вынуждает компанию пересмотреть свой первоначальный план. Новая стратегия не исключает прод...

Huawei выпустила ноутбук с фирменным чипом Kirin 9006C Ноутбук Qingyun L540 - это новейшая разработка Huawei с процессором собственного производства Kirin и с двумя вариантами операционной системы UOS и Galaxy Kirin на базе Linux.

Samsung готовит к запуску память LPDDR6 Сегодня появилась информация о том, что компании Samsung и SK Hynix сотрудничают для получения сертификации на память LPDDR6. По информации специалистов, корейские компании готовы начать производство микросхем памяти, как только стандарт будет утвержден JEDEC, чтобы обойти к...

Apple M3 MacBook Pro и MacBook Air выйдут в следующем году Новые компьютеры MacBook Pro на базе процессоров Apple M3 могут появиться в начале 2024 года, в то время как M3 MacBook Air появятся несколькими месяцами позднее. Такую информацию распространил Марк Гурман, аналитик Bloomberg.

AMD публикует новые патчи для графических процессоров RDNA 4 следующего поколения в Linux Команда AMD по Linux наконец-то опубликовала дополнительные исправления для поддержки графического процессора RDNA 4 следующего поколения , поскольку компания заранее готовится к официальному выпуску.

Zhaoxin KX-7000 протестировали в бенчмарках Недавно в Китае была представлена новая серия процессоров KX-7000 от компании Zhaoxin, предназначенных для настольных ПК для внутреннего рынка страны — продавать их официально за пределами Китая никто не планирует. А сегодня процессоры этой серии впервые появились в базе дан...

Для российских маркетплейсов готовится ГОСТ Глава Росстандарта Антон Шалаев заявил на встрече с Председателем Правительства РФ Михаилом Мишустиным о создании ГОСТа для маркетплейсов. «У нас сейчас идет работа над ГОСТом для маркетплейсов, для электронной торговли. Внесены уже изменения в базовый ГОСТ по тор...

Ноутбук Lenovo на базе процессора Snapdragon X Elite появился в базе Geekbench В Geekbench обнаружены результаты тестирования нового ноутбука Lenovo с процессором Snapdragon X Elite от Qualcomm.

Разработчик «Смуты» рассказал о плане обновлений своей игры В «Сайберия Нова», разработавшей игру «Смута», рассказали о дальнейших планах на поддержку и обновление игры

Samsung разрабатывает процессор для нового ИИ Компания Samsung Electronics стремительно развивается в области производства полупроводников, но даже при этом компании не удалось привлечь внимание лидеров рынка вроде NVIDIA, которые предпочитают TSMC из-за более продвинутых технологических процессов. Но сегодня появилась ...

«Автомобили Xiaomi будут ездить по всем дорогам мира», — глава компании показал Xiaomi SU7 на тестах Глава Xiaomi опубликовал фотографии с зимних испытаний первого автомобиля, который получил название Xiaomi SU7. «Я верю, что однажды автомобили Xiaomi будут ездить по всем дорогам мира!», — заявил Лей Цзюнь, прямо подтверждая планы по выпуску автомобил...

По словам Андрея Ермака, скоро собственные системы ПВО будут производиться на Украине Глава офиса президента Украины, Андрей Ермак, объявил о планах организации собственного производства систем ПВО, включая зенитные комплексы и другие виды вооружений.

Qualcomm уже проектирует Snapdragon X Elite Gen 2 Компания Qualcomm и её партнёры из сегмента ноутбуков активно работают над тем, чтобы мобильный процессор Snapdragon X Elite с довольно приличной производительностью появился в портативных компьютерах уже во второй половине 2024 года. Кроме того, уже ходят слухи о том, что в...

Lenovo готовит Yoga Slim 7 на базе процессора Qualcomm Snapdragon X Компания Lenovo финализирует разработку конвертера Yoga Slim 7 14 2024, главной особенностью которого станет то, что он построен на процессоре Qualcomm Snapdragon X.

«Лунный» процессор Intel был впервые протестирован. 20-ядерный Lunar Lake появился в базе SiSoft Sandra Компания Intel уже явно располагает образцами процессоров Lunar Lake, потому как один из них засветился в базе SiSift Sandra.  Определить CPU позволило кодовое имя платформы — LNL-M LPP RVP1. ПО говорит о поддержке памяти LPDDR5, то есть это мобильный процес...

Глава MediaTek рассказал, когда Dimensity 9400 появится в продаже Генеральный директор MediaTek Рик Цай раскрыл ожидаемые сроки премьеры флагманского процессора Dimensity 9400

Samsung Galaxy A55 разочаровал своей производительностью На прошлой неделе в сети появились первые результаты теста смартфона Galaxy A55 в Geekbench 6, но это были тесты, запущенные не на самом устройстве Galaxy A55, а на некой тестовой инженерной платформе. Но теперь ситуация изменилась, так как прототип Galaxy A55 тоже протестир...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Глава Qualcomm назвал точные сроки выхода Windows 12 с умным интерфейсом на базе Windows AI Релиз новой настольной операционной системы совпадает с выходом процессора Snapdragon X Elite, который состоится в середине 2024 года

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

На выделенных серверах Selectel появится сервис Managed Kubernetes Selectel, ведущий российский провайдер IT-инфраструктуры, готовит к запуску управляемый сервис по развертыванию кластеров Kubernetes на базе выделенных серверов. О реализации решения рассказал директор по продуктам компании Константин Ансимов на флагманской конференции Selec...

К выпуску готовятся два кнопочных телефона Nokia В ближайшее время под брендом Nokia должно выйти два кнопочных телефона, которые уже появились в базе данных Министерства промышленности и информационных технологий Китая.

Глава Чечни Кадыров: республика выполнила план по частичной мобилизации на 1500% Кадыров заявил о выполнении плана мобилизации в Чечне «на 1500%»

Вышло обновление Windows 11, решающее проблему с замедлением системы на ПК с процессорами AMD Компания Microsoft выпустила обновление Windows 11 KB5035942, которое рекомендовано владельцам ПК на базе процессоров AMD.

Xiaomi вскоре выпустит ноутбук на базе процессора Intel Meteor Lake Компания Xiaomi работает над новым ноутбуком, в основе которого будет лежать неанонсированный процессор Intel Meteor Lake.

Loongson готовит к выходу 16-ядерный серверный процессор LS3C6000 В планах компании также находятся 32 и 64-ядерные процессоры

Разработчики криптосмартфона Solana выпустят вторую, более дешёвую модель Разработчик смартфонов с криптошифрованием, компания Solana Mobile, рассказала о планах выпустить новое мобильное блокчейн-устройство. Новая модель криптосмартфона Solana Saga подешевеет относительно первого поколения и в ней появится новое ПО.

Все игры Activision Blizzard, включая Call of Duty, появятся в Game Pass Глава Xbox Фил Спенсер рассказал о будущем бренда, поделившись интересными фактами. Так Xbox будет в первый день выхода новой игры от Activision Blizzard добавлять её в Game Pass, включая Call of Duty этого года. Спенсер подтвердил, что все игры от студий Microsoft появятся…

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Samsung готовится к выпуску ноутбука Galaxy Book 4 Edge с процессором Qualcomm Snapdragon X Elite Компания Samsung готовится к выпуску ноутбука Galaxy Book 4 Edge, оснащенного процессором Qualcomm Snapdragon X Elite

В сети появились характеристики процессора Platinum 8558P В базе данных Geekbench появились ещё два процессора Intel 5-го поколения Xeon Emerald Rapids — речь о моделях Platinum 8558P и 8551C. Стоит сразу уточнить, что это серверные процессоры и использовать их в своих домашних ПК вы не сможете, что вполне очевидно, но всё равно но...

Илон Маск вложился в судебный процесс против соцсети бывшего главы Twitter Компания Х (бывший Twitter) начала активно финансировать готовящийся судебный процесс, инициированный Хлоей Хаппе, бывшей сотрудницей соцсети Block, подавшей иск к компании за увольнение без выходного пособия.

Lada X-Cross 5 уже появились на дорогах Кроссоверы Lada X-Cross 5 начали использоваться в корпоративном парке АвтоВАЗа, об этом сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Не стоит путать эту машину с грядущий XCITE X-Cross 7 на базе Chery Tiggo 7, поскольку Lada X-Cross 5 яв...

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

Китайская компания Montage Technologies разрабатывает серверные процессоры на базе Intel Xeon Китайская компания Montage Technologies анонсировала выпуск пятого поколения процессоров Jintide, разработанных на основе Intel Xeon Scalable серии Emerald Rapids

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Hitman: Blood Money выйдет на iPhone и Android Компания IO Interactive рассказала о своих планах по переносу Hitman: Blood Money на мобильные платформы. Точная дата выхода пока неизвестна. Однако сообщается, что в App Store и Google Play игра появится уже до конца осени.Читать дальше... ProstoMAC.com.| Постоянная ссылка...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Спецификации и дизайн недорогого Motorola G04 рассказали до анонса На сайте одного из европейских онлайн-ритейлеров появилась подробная информация о готовящемся к выпуску бюджетном смартфоне Motorola G04

Россия готовится к освоению производства 28-нм чипов до 2027 года и 14-нм чипов до 2030 года Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов

Apple начнет производство складных гаджетов уже в следующем году Компания полным ходом готовится выйти на рынок складных устройств.

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

Российские аналоги Mercedes-Benz S- и E-классов, недорого. Aurus запустит производство новых автомобилей Глава Минпромторга Денис Мантуров анонсировал скорый запуск производства в России новых автомобилей Aurus, они будут меньше и дешевле нынешнего Aurus Senat. Фото: Aurus «Мы планируем в ближайшее время запустить в производство линейку автомобилей категории S-класс...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Китайские процессоры становятся все лучше: серверный чип 3C6000 от Loongson соревнуется с AMD Epyc на базе Zen 3 Поднебесная продолжает развивать собственную отрасль разработки и производства электроники. Неплохо себя чувствуют многие компании из Китая, но сейчас речь пойдет о Loongson, которая разрабатывает процессоры на основе собственной архитектуры LoongArch. Сейчас представлен се...

Появилось официальное изображение Realme GT 5 Pro на процессоре Snapdragon 8 Gen 3 Изображения появились в базе данных китайской платформы сертификации MIIT с номером модели RMX3888.

Такой шильдик будет на новых «Волгах». Появилось первое изображение Появилось изображение логотипа, который должен присутствовать на новых автомобилях «Волгах», а точнее Volga — да, он выполнен латиницей, как и соответствующий товарный знак. А вот и фирменный логотип для «новой Волги». Графическое решение &...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Директор завода рассказал, когда кроссоверы «Москвич 5» начнут массово сходить с конвейера Российский автомобильный завод «Москвич» сейчас готовится к запуску новой модели. Как ожидается, ей станет кроссовер «Москвич 5». Директор автозавода по производству Олег Масляков рассказал подробности о модели и сроках запуска в интервью «...

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

У Samsung Galaxy S23 FE появится версия с процессором Qualcomm Snapdragon Samsung готовится к запуску новой версии своего смартфона Galaxy S23 FE в Индии, оснащенной процессором Qualcomm Snapdragon.

Lada хватит на всех чиновников Глава АвтоВАЗ Максим Соколов заявил, что отечественных автомобилей Lada хватит на всех российских чиновников — и федерального, и регионального уровней. «Конечно, у нас производственный план на этот год более 500 тысяч автомобилей. При этом в мае мы запускаем...

Глава Intel раскрыл подробности производства TSMC: N3 для Arrow Lake и N3B для Lunar Lake Глава Intel, Пэт Гелсингер, раскрыл некоторые подробности о производстве TSMC

Глава Xbox Спенсер рассказал об эксклюзивных играх для PS5 Обсуждались игры Xbox, которые появятся на консоли Sony PlayStation 5, будущее Xbox Cloud Gaming на iOS и возможность появления портативного Xbox.

Как происходит сборка новейших Jetour Dashing на «Автоторе», показали вживую Как выглядит производство кроссоверов Jetour Dashing на заводе «Автотор», показал и рассказал главный редактор журнала «За рулем» Максим Кадаков. Сборку начинают с голых окрашенных кузовов. Сначала набивается VIN-номер, затем кузов уходит на кон...

Наконец-то ноутбуков с 8 ГБ ОЗУ станет меньше? Microsoft установила минимум для ПК с ИИ в размере 16 ГБ Активный акцент на искусственный интеллект может повысить средний объём оперативной памяти не только в смартфонах, но и в ПК.   Как сообщают аналитики TrendForce, Microsoft установила объём ОЗУ в 16 ГБ в качестве минимума для ПК с искусственным интеллектом. Конечн...

Бывшие российские заводы Volkswagen, Nissan и Hyundai хотят объединить в гигантский кластер На базе автозаводов в Санкт-Петербурге, Ленинградской и Калужской областях могут создать Межрегиональный кластер автомобильной промышленности (МКАП), о чем пишет «Известия» со ссылкой на источник в правительстве. Такой план уже разрабатывают Минэкономразвити...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Россия нарастит поставки газа в Венгрию и Китай Глава «Газпрома» Алексей Миллер рассказал о планах энергохолдинга нарастить поставки природного газа в Венгрию и Китай. С обеими странами удалось договориться о дополнительном экспорте российского топлива. Венгрия получит дополнительный газ в осенне-зимний период, а КНР полу...

Realme 12 Plus появился в базе TENAA – новый сегмент бренда? Realme готовится расширить линейку 12 серии двумя новыми моделями – Realme 12 и Realme 12 Plus. Благодаря недавней записи в базе TENAA теперь известны технические характеристики Realme 12 Plus 5G

AMD продолжит наступать на Intel там, где у последней нет ответа. К выходу готовятся игровые процессоры Ryzen 7 5700X3D и Ryzen 5 5500X3D Компания AMD собирается расширить линейку процессоров Ryzen X3D, имеющихся дополнительную микросхему кеш-памяти. Причём расширить за счёт моделей Ryzen 5000.  Согласно свежим данным, к изначальному вышедшему Ryzen 7 5800X3D и эксклюзивному для американской сети Mi...

«О сборке Tiggo 9 речь однозначно не идёт и не шла», — в «Автодоме» не подтвердили планы по выпуску машин Chery на заводе Mercedes Компания «Автодом», которая с апреля этого года владеет активами Mercedes-Benz в России, не подтвердила опубликованную сегодня информацию о подготовке производства автомобилей Chery на заводе в подмосковном Есипово. «О сборке указанных моделей Tiggo 9 ...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Китай снизит норму банковских резервов в попытке стимулировать экономический рост и рынки Глава НБК заявил, что 5 февраля RRR будет снижен на 0,5 п.п. — Отказ ФРС от повышения процентных ставок открывает возможности для поддержки экономики

Nissan рассказала о планах электрификации своих автомобилей Автомобильная компания Nissan объявила о своем новым плане электрификации, согласно которому 16 из 30 выпускаемых автомобилей будут электрическими к 2026 году. Компания также заявила о намерении выпустить семь новых электрических автомобилей на североамериканском рынке, вклю...

Процессоры Intel Meteor Lake Core Ultra неожиданно слили в сеть Сегодня появилась достаточно интересная информация — дело в том, что компания Microsoft опубликовала список нескольких предстоящих процессоров Intel для сборок Windows 11 22H2 и 23H2, включая серии Meteor Lake Core Ultra и Raptor Lake Refresh. Список Microsoft включает неско...

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

544 л.с., полный привод и три экрана в салоне как у Monjaro. В Россию приехали лифтбэк Rising F7 и кроссовер Rising R7, официальный дилер назвал стоимость Директор «Авилон Rising» Константин Галаган сообщил о том, что в салонах компании уже появились новые электромобили Rising F7 (лифтбэк) и Rising R7 (кроссовер). Бренд Rising, напомним, принадлежит китайской SAIC.  Rising F7. Изображение: Rising Хоть у ...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

В Web3 Foundation рассказали о поддержке Polkadot Компания по развитию Polkadot Web3 Foundation опубликовала план дальнейших действий

Intel: процессоры Meteor Lake нового поколения не займут большую долю рынка Планы Intel в отношении грядущей процессорной архитектуры Meteor Lake привели к некоторому замешательству в мире компьютерного оборудования. Недавно генеральный директор группы клиентских вычислений компании Мишель Джонстон Холтхаус заявил, что чипы Meteor Lake действительно...

Intel сняла с производства процессоры 13 поколения с разблокированным множителем Компания Intel объявила о снятии с производства разблокированных "коробочных" настольных процессоров 13-го поколения Raptor Lake-S

Intel готовится обжаловать решение суда, запретившее ей продавать ряд процессоров в Германии Компании приходится противодействовать R2 Semiconductor.

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Холдинг «Росэлектроника» готовится к массовому производству операционных усилителей Госкорпорация «Ростех» завершила разработку операционных усилителей, предназначенных для замены зарубежных аналогов в промышленной электронике. Серийное производство планируется начать в 2024 году.

Бывший российский завод Michelin будет выпускать шины двух новых марок На территории бывшего Michelin в посёлке Давыдово, Московская область, готовится к старту производство шин под марками Selna и Farlight, как сообщает телеграм-канал «Автопоток». В марте 2022 года французский гигант шинного производства объявил о приостановке...

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

Компания Vivo готовится к масштабному анонсу новых смартфонов серии X100, который состоится 13 мая Во главе линейки станет флагманская модель X100 Ultra, которая получит революционные камерные технологии. Также будут представлены более доступные варианты X100s и X100s Pro.

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

К запуску готовится смартфон realme GT Neo6 SE на базе энергоэффективного Snapdragon 7+ Gen 3 Компания realme поделилась первыми подробностями новинки в китайской социальной сети Weibo.

CEO Pocketpair обвинил Tencent в разработке клона Palworld — Auroria Стоило Tencent рассказать о своих новых планах на мобильную игру Auroria, как в адрес китайской компании прилетела критика, причём не от обычных геймеров, а от самого главы Pocketpair — эта студия ответственна за Palworld. Несмотря на то, что Palworld сама брала вдохновение ...

Российские компании начнут выпускать технику на китайских процессорах Российская компания «Норси-Транс» объявила о начале выпуска техники на базе китайских процессоров Loongson.

SK hynix представила план по использованию переработанных материалов Компания SK hynix представила свой план по активному использованию переработанных и возобновляемых материалов в производстве

Wildberries готовится выйти на рынки стран Персидского залива и Средней Азии Глава компании Wildberries Татьяна Бакальчук рассказала журналистам, что сейчас маркетплейс планирует расширять географию присутствия, это необходимо для того, чтобы продолжать сохранять рост. В первую очередь, рассматриваются страны, граничащие с Россией, и страны СНГ,...

Blue Origin готовится к запуску New Shepard с 33 научными экспериментами на борту Компания Blue Origin объявила о своих планах на следующий запуск космического корабля New Shepard, запланированный не ранее 18 декабря

OpenAI решила делать свои чипы: компании нужны $7 трлн Глава OpenAI Сэм Альтман намерен вложить $5-7 трлн в новый проект компании — производство ИИ-чипов.

Vivo X Fold 3 появился в базе данных Geekbench с процессором Snapdragon 8 Gen 2 Анонс серии складных Vivo X Fold 3 запланирован на 26 марта в Китае.

В базе данных Geekbench появился процессор Intel Core i5-14450HX 10-ядерный процессор Intel Core i5-14450HX будет иметь тактовую частоту на 200 МГц выше, чем у Core i5-13450HX

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

Процессор Apple M4 ожидается в первом квартале 2025 года Производители готовятся конкурировать в сегменте процессоров с поддержкой ИИ

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Snapdragon X Elite превзошел Core Ultra 7 при ИИ-генерации изображений Qualcomm готовится к выпуску флагманского процессора нового поколения для ноутбуков — Snapdragon X Elite. Прежде чем эти чипы появятся на рынке в середине 2024 года, Qualcomm демонстрирует превосходство X Elite на неожиданной арене: ИИ-генерация изображений.

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Россиянам рассказали о планах на запуск «Протонов» на орбиту Земли Эксперт рассказал о планах запустить не менее четырёх ракетоносителей «Протон» на орбиту Земли

Все мастер-планы развития Дальнего Востока будут контролировать по видео Глава Министерства восточного развития России, Алексей Чекунков, сообщил о внедрении видеонаблюдения за реализацией всех мастер-планов развития городов Дальнего Востока. Эта инициатива призвана обеспечить максимальную прозрачность и эффективность процесса развития региона.

Глава Sanofi под давлением инвесторов из-за расходов на НИОКР После резкого падения акций Sanofi генеральный директор компании Пол Хадсон под давлением инвесторов должен объяснить планы по увеличению расходов на НИОКР и потенциальную отдачу от этих инвестиций

Индийская компания бросила вызов ChatGPT: готовится конкурент американскому боту Индийская телекоммуникационная компания Reliance Jio всерьёз отнеслась к словам главы OpenAI Сэма Альтмана о том, что индийские стартапы способны создавать качественные и конкурентные ИИ-продукты. В одном из интервью Альтман говорил, что индийские компании вполне способны со...

Производством раздаточных коробок для полноприводных грузовиков КамАЗ теперь занялся Тутаевский моторный завод Российский производитель грузовых автомобилей КамАЗ переносит производство раздаточных коробок, о чём сообщает инсайдерский телеграм-канал «Автопоток». КамАЗ переносит производство раздаточных коробок для полноприводных грузовиков. Теперь их выпуском будет з...

Motorola представила концепт гнущегося смартфона Прототип показали журналистам, но о планах на производство и продажу компания пока не заявляла.

Apple производит изменения в своих магазинах, готовясь к анонсу новых продуктов Компания Apple, как сообщается, готовится к запуску каких-то новых продуктов. Компания якобы проинформировала розничные команды о том, что они проведут обновление физических магазинов в дополнение к проведению брифингов для СМИ.    В частности, вносятся измен...

Крупнейшая майнинговая компания в мире Marathon Digital рассказала о об инвестициях и планах на 2024 Marathon Digital расширяет мощности в ожидании халвинга

NVIDIA GeForce RTX 4080 Super на базе GPU AD103 появилась в базе PCI ID Пока это ничего не доказывает, но с учётом последних слухов, скорее всего, карта получит графический процессор AD103, а не AD102.

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Acer представляет новый Predator Triton Neo 16 с процессорами Intel Core Ultra Компания Acer представила новый игровой ноутбук Predator Triton Neo 16 (PTN16-51), созданный на базе новых процессоров Intel Core Ultra со специальными возможностями ускорения искусственного интеллекта и графических процессоров серии NVIDIA GeForce RTX 40

АвтоВАЗ расширит производство Lada в другой стране АвтоВАЗ нашел новую базу для расширения производства автомобилей Lada, о чем сообщает РИА «Новости». Компания из Ганы, U2 company limited, получила лицензию на продажу автомобилей LADA и в настоящее время активно ведет переговоры с представителями АвтоВАЗа&n...

Первая производственная база в Китае, достигшая углеродной нейтральности при вводе в эксплуатацию — запущен новейший завод Audi На прошлой неделе в Чанчуне, провинции Цзилинь, состоялась церемония запуска Audi FAW New Energy Vehicle Co., Ltd., первого завода по производству полностью электрических моделей Audi в Китае. Согласно официальным сообщениям, новая площадка планирует иметь годовую произ...

Тесты новейшего процессора Intel Core Ultra 5 135U попали в Сеть Готовящийся к выпуску процессор Intel Core Ultra 5 135U Meteor Lake неожиданно дебютировал на Geekbench, продемонстрировав уникальную конфигурацию ядер.

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

В США сокращают закупку супербомбардировщиков B-21 задолго до завершения их производства Планы на производство 100 самолетов не будут реализованы до середины или конца 2030-х годов, что свидетельствует о низком темпе их сборки.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Xiaomi 15 выйдет в октябре 2024 года На прошлой неделе появилась информация от инсайдеров о том, что у компании Xiaomi есть эксклюзивное право на первый запуск смартфона на базе грядущего процессора Snapdragon 8 Gen 4 от Qualcomm, а теперь появились данные о том, когда этот релиз можно будет ждать. Согласно ано...

Nestlé добавляла «нездоровый» объем сахара в детские продукты… в бедных странах Новое расследование выявило тревожные двойные стандарты в практике компании Nestlé по производству детского питания. В то время как продукция компании в странах с высоким уровнем дохода соответствует санитарным нормам и не содержит добавленных сахаров, те же самые продукты, ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Microsoft намерена снизить зависимость от Nvidia в производстве ИИ-серверов Глава Microsoft Сатья Наделла обратился к гендиректору Fungible Inc., стартапу, который корпорация не так давно приобрела, с просьбой усилить производство сетевых карт для повышения эффективности серверного чипа Maia AI.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

В сети появился намек на серию процессоров AMD Ryzen 9000 на базе Zen 5 Источником информации стал загадочный флаер от Alienware

В России могут наладить сборку автомобилей Livan – это суббренд Geely В России могут наладить производство автомобилей Livan – это один из суббрендов Geely. О том, что компания рассматривает такую возможность, сообщила «Газета.ru» со ссылкой на главу российского представительства Livan Куна Шуайя. Пока особой конкре...

В России создали систему контроля безопасности на производстве В пресс-службе Новосибирского государственного технического университета (НГТУ) сообщили, что ученые вуза создали прототип системы, которая с помощью компьютерного зрения будет следить за соблюдением норм безопасности на производстве.

Глава Binance предупредил пользователей об угрозе 9 октября 2023 в микроблоге главы крупнейшей криптобиржи Binance, Чанпэна Чжао, появилось сообщение с предупреждением о мошенничестве

[Перевод] Xv6: учебная Unix-подобная ОС. Глава 4: Прерывания и системные вызовы Глава расскажет, как xv6 обрабатывает прерывания на RISC-V и о хитростях виртуальной памяти: как использовать ошибки доступа к страницам, чтобы оптимизировать работу ОС.Глава расскажет о копировании страниц при записи, ленивой выдаче страниц, выдаче страниц по необходимости ...

Производство грузовиков на бывшем российском заводе Volvo разгоняется: уже выпускают по 9 машин в сутки В Калуге на заводе АМО (это бывший российский завод Volvo) начали выпускать больше машин: если поначалу производили около 5 машин в сутки, то сейчас объем производства достигает 9 машин в сутки, а в ближайших планах довести объем до 12 машин в сутки. На предприятии раб...

В этом году «Москвич» хочет продать 26 тысяч автомобилей В этом году планируется продать около 26 тысяч автомобилей «Москвич», рассказал гендиректор завода КамАЗ (технологический партнёр «Москвича») Сергей Когогин. Он упомянул об этом в кулуарах съезда Российского союза промышленников и предпринимателе...

Складной смартфон Pixel Fold 2 может появиться летом в 2024 году Pixel Fold 2 выйдет на рынок позднее в этом году: аналитики рассказали о размерах экранов и сроках массового производства

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Samsung начнет пилотное производство полупроводниковых стеклянных подложек в этом году Samsung готовится начать пилотное производство полупроводников на стеклянных подложках в этом году, конкурируя с Intel и другими компаниями

По слухам следующий процессор Kirin будет сопоставим с Apple A12Z Один из китайских инсайдеров сообщил часть характеристик ещё не анонсированного процессора HUAWEI Kirin, готовящегося к выпуску

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Япония инвестирует $1,3 млрд в Micron: поддержка развития микросхемного производства Япония вложит в производителя микросхем Micron $1,3 млрд У компании планы амбициозные

В Microsoft рассказали о планах по выпуску Xbox нового поколения Президент подразделения Microsoft Gaming заявила, что компания планирует сделать "крупнейший технический скачок".

Конгрессмен Куигли: у демократов есть план Б по финансированию Украины В Конгрессе США рассказали о плане Б по продолжению финансирования Украины

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Основную группировку спутников мониторинга Земли «Грифон» развернут в 2025-2026 годах Глава Роскосмоса Юрий Борисов рассказал журналистам о планах по развёртыванию отечественной спутниковой группировки «Грифон». По его словам, аппараты основной группировки будут запускаться в 2025-2026 годах, но уже в следующем году на орбиту отправятся четыр...

OnePlus Nord CE 4 Lite прошел очередную сертификацию Новый смартфон OnePlus Nord CE 4 Lite появился в базе данных BIS. Вот подробности об устройстве, которое, как ожидается, будет оснащено процессором Snapdragon 6 Gen 1

AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS появились в базе Geekbench Судя по техническим характеристикам данные процессоры очень похожи на переименованные чипы предыдущей серии Ryzen 7040

Инсайдеры раскрыли характеристики «убийцы процессора Apple M2 Ultra» от Qualcomm Компания Qualcomm готовится к революции на рынке ПК с выпуском новой платформы Snapdragon X Elite, оснащённой искусственным интеллектом.

Компания GPD обновит свой ноутбук Win Max 2 Компания GPD сообщила о готовящемся обновлении портативного компьютера Win Max 2, в котором будет использоваться новый процессор AMD Ryzen 8040

«Убили двух зайцев», — глава АвтоВАЗа назвал истинные причины переноса производства Lada Largus в Ижевск Перенеся производство Lada Largus из Тольятти в Ижевск, АвтоВАЗ «убил двух зайцев», о чем заявил президент компании Максим Соколов. Это позволит перезапуститься заводу в столице Удмуртии и освободить часть конвейера на главной площадке под сборку новой модел...

Уволившегося главу Binance сразу заблокировали в соцсети Х Бывший глава крупнейшей в мире криптобиржи Binance Чанпэн Чжао на социальной платформе Binance Square рассказал о том, что как только уволился из компании, сразу был заблокирован в соцсети Х.

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Fujitsu создаст серверный 2-нм 150-ядерный Arm-процессор Fujitsu готовится к будущему, представляя процессор нового поколения Monaka, предназначенный для использования в приложениях искусственного интеллекта (ИИ), высокопроизводительных вычислений и ЦОД.

Realme GT Neo6 SE протестировали в бенчмарке В базе данных популярного бенчмарка Geekbench появились результаты тестирования смартфона Realme GT Neo6 SE, официальный релиз которого состоится уже в этом месяце. Бенчмарк подтвердил наличие 4-нанометрового 8-ядерного процессора Qualcomm Snapdragon 7+ Gen 3 с тактовой част...

Toyota готовится к производству электрокаров с рекордным запасом хода Компании Toyota и Idemitsu объединились для создания твердотельного аккумулятора, который позволил бы электрокарам проезжать без остановки до 1200 километров

На бывшем заводе Toyota вместо грузовиков действительно могут собирать Aurus'ы. В проекте заинтересован «Газпром» По информации собственных источников газеты «Коммерсантъ», компания Aurus рассматривает возможность организации сборочного производства на базе бывшего завода Toyota в Шушарах. Также появилась информация об интересе «Газпрома» к данному проекту. ...

100% Lada Granta, сходящих с конвейера, получили подушки безопасности, а с Lada Vesta случился «форс-мажор». На АвтоВАЗе выдалась богатая на события суббота Инсайдеры паблика Avtograd News рассказали о том, как прошла суббота на АвтоВАЗе. За выходной предприятие собрало 970 машин — 580 машин Lada Granta, 175 Lada Niva Legend и 215 Lada Niva Travel. Причем все 100% Lada Granta были оснащены подушками безопасности. Что ...

Смартфон Realme 12 Lite с процессором Snapdragon 685 скоро появится в продаже Номер модели «rmx3890», обнаруженный в базе данных IMEI, свидетельствует о том, что Realme 12 Lite, по сути, является версией Realme C67.

Тесты подтвердили прирост мощности процессора Intel Core i5-14400 в сравнении с предшественником Недавно в базе данных Geekbench 6 появились результаты тестирования процессора Intel Core i5-14400.

Первый электромобиль Xiaomi будет работать на базе процессора NVIDIA Не так давно Xiaomi представила свой первый электромобиль — Xiaomi SU7. В рамках СES 2024 появились свежие подробности о новинке.

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

В России дефицит внедорожников УАЗ «Патриот». Производитель не отрицает нехватку автомобилей Никогда такого не было – и вот опять: в России вновь дефицит внедорожников УАЗ «Патриот». Как сообщает «Газета.ru», он этом рассказали в восьми дилерских центрах в разных регионах России. Фото: УАЗ Производитель тоже не стал отрицать нехва...

Новейшая Hyundi Sonata появилась у дилеров в Китае. Это машина местного производства Как сообщает Autohome, у дилеров в Китае появилась новейшая Hyundai Sonata – седан восьмого поколения, переживший рестайлинг весной 2023 года. Важный нюанс: у дилеров появились машины местного производства, появление их в России – лишь вопрос времени, ведь H...

Tom's Hardware: Intel выпустит 1-нанометровые процессоры в 2027 году Производитель процессоров опубликовал свои планы на ближайшие несколько лет.

В России запустят серийное производство водоробусов в 2028 году Компания «Волгабас» планирует наладить производство так называемых водоробусов в ближайшие годы. Об этом рассказал журналистам генеральный директор группы компаний Алексей Бакулин.   Иллюстрация: «Волгабас» На промышленно-энергетическом фор...

«Возможно, что в следующем году мы выйдем с новым брендом», — глава АвтоВАЗа о новом модельном ряде Президент АвтоВАЗа Максим Соколов заявил, что компания может выйти на российский рынок с новым брендом. Он добавил, что завод в Санкт-Петербурге продолжает работать. «Насколько мне известно, завод в Санкт-Петербурге работает. И даже в новогодние праздники будет ра...

Intel готовит ещё 3 процессора нового поколения без индекса К Буквально вчера вечером компания Intel официально представила свои совершенно новые процессоры, которые на самом деле оказались процессорами прошлого года, но с повышенной тактовой частотой производительных ядер. Это, безусловно, разочаровало многих пользователей, так как ге...

OnePlus Ace 3V получит процессор Snapdragon 7+ Gen 3 На протяжении достаточно длительного времени в сети появляется информация о смартфоне OnePlus Ace 3V, который должны официально представить в самое ближайшее время, но верить этим слухам или нет — выбор каждого. Ведь некоторые данные, которые сообщают инсайдеры, выглядят чре...

Тим Кук рассказал о первых прототипах Apple Vision Pro Перед официальным стартом продаж Vision Pro глава Apple Тим Кук дал эксклюзивное интервью журналу Vanity Fair, рассказав о своём знакомстве с фирменной гарнитурой смешанной реальности, когда у той ещё даже не было названия

NVIDIA сняла с производства самые «народные» видеокарты линейки RTX 40XX В сети появилась информация о не самом приятном решении NVIDIA — компания прекратила производство двух популярных моделей видеокарт. Речь идёт об RTX 4070 Ti и RTX 4080.

Meizu никуда не собирается. Компания обещает представить целых три новинки в мае В феврале компания Meizu объявила о своем уходе с рынка смартфонов, чтобы полностью сосредоточиться на искусственном интеллекте и сосредоточиться на разработке устройств с искусственным интеллектом. Вскоре после этого компания выпустила телефон Meizu 21 Pro. Сегодн...

TSMC объявила о планах строительства трех заводов по производству 2-нм чипов Компания TSMC планирует упрочить свои позиции на глобальном рынке по производству полупроводников последнего поколения

MSI добавила в BIOS своих материнских плат поддержку еще не анонсированных CPU от AMD с Zen 5 Процессоры AMD Zen 5 уже на подходе и производители готовятся к дебюту Zen 5. MSI обновила прошивку материнских плат socket AM5 для совместимости с будущими процессорами AMD на новой архитектуре.

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

ASUS ROG Strix G18 — инновационный ноутбук на Intel 14-го поколения, доступный в России На полках российских магазинов появился первый ноутбук на базе процессора Intel Core i9 14-го поколения. Речь идёт о ASUS ROG Strix G18, представленном ещё на CES 2024

Покойся с миром, Nokia! К выпуску готовятся смартфоны HMD Phone – первые подробности о первых ласточках Генеральный директор HMD Global Жан-Франсуа Бариль (Jean-Francois Baril) ещё в сентябре объявил о планах компании выпускать новые технологические продукты будут выпускаться под собственным брендом. Теперь же стали известны подробности о первых смартфонах HMD.  Ко...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Apple рассказала детально, почему разрешила аналоги App Store только в ЕС Недавно внесённые в политику App Store изменения были продиктованы нормами закона о цифровых рынках (DMA), установленных регуляторами ЕС. Конечно, Apple могла бы сделать послабления и для других регионов — но отказалась от этой идеи

Lada Vesta NG вернёт себе ESP через шесть месяцев. Как сообщает телеканал «Авто Плюс» со ссылкой на представителей АвтоВАЗа, Lada Vesta NG вернёт себе ESP через шесть месяцев. Мы неоднократно рассказывали, что группа компаний «ИТЭЛМА» недавно наладила производство российской антиблокировочной сис...

Microsoft представит первый ИИ-чип для конкуренции с NVIDIA уже в ноябре Компания Microsoft готовится к презентации своего первого чипа на базе искусственного интеллекта (ИИ) в следующем месяце, как сообщает издание The Information.

Специально для России Livan может создать гибриды. Объявлены планы на 2024 год Глава Livan рассказал о том, что в следующем году компания официально привезет в Россию как минимум две новинки. «Мы планируем в следующем году представить еще две модели. Это электромобиль и модель с бензиновым двигателем. Пока что еще думаем, названий нет. Это в...

Мощный, но дорогой процессор Intel Core i9−14900KS скоро поступит в продажу Intel готовится к выпуску лимитированного процессора Core i9−14900KS в первом квартале 2024 года в рамках серии 14-го поколения Raptor Lake-S Refresh. Этот процессор отличается более высокими тактовыми частотами и энергопотреблением.

Выяснились планы Google и Apple по созданию искусственного интеллекта Что готовится в лабораториях искусственного интеллекта Google и Apple?

РЖД запустит беспилотные поезда "Ласточка" летом 2024 года На выставке "Транспорт России" в Москве глава "Российских железных дорог" (РЖД) Олег Белозеров сообщил премьер-министру РФ Михаилу Мишустину о планах компании запустить беспилотные поезда "Ласточка" к лету 2024 года, пишет ТАСС.

АвтоВАЗ возобновит производство внедорожной версии Lada Granta АвтоВАЗ планирует нарастить объем выпуска автомобилей Lada Granta и вернуть в производственную гамму универсал Granta Cross. Об этом сообщает инсайдерский паблик Avtograd News. Правда, Granta в кузове универсал вернется на конвейер не прямо сейчас. Изображение: Lada &l...

Глава Евродипломатии предрёк «решение» ситуации вокруг Украины в течение трёх месяцев Кто-то готовится признать очевидное поражение, или что?

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

Xiaomi вышла на прибыль Во время публикации финансовой отчетности руководство компании подтвердило планы по запуску массового производства электрокаров в первой половине 2024 года.

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

Apple готовится к производству бюджетной версии AirPods Согласно последним отчетам от аналитической компании Haitong International Securities, Apple может скоро порадовать своих поклонников новой, более доступной версией своих беспроводных наушников.

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

Интегрированное графическое ядро, которое мощнее PlayStation 5. Процессоры AMD Strix Halo подтвреждены Компания AMD фактически подтвердила существование процессоров под кодовым именем Strix Halo.   Сначала их ID (GFX1150/1151) появились в базе ROMc, а затем появились уже непосредственно названия серии.  Само собой, технических подробностей там нет, так как так...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Тесты новейшего процессора Loongson 3A6000 Недавно был представлен 8-ядерный процессор следующего Loongson 3A6000 на базе ядра LA664, а знакомый энтузиаст смог приобрести плату на данном процессоре (модель процессора LS3A6000-HV и чипсет LS7A2000). Читать далее

Snapdragon 8 Gen 4 на 46% лучше Gen 3 в многоядерных тестах Geekbench В сети появились первые результаты тестов готовящегося к выпуску процессора Snapdragon 8 Gen 4, благодаря чему можно оценить производительность новой SoC. Согласно слухам, Snapdragon 8 Gen 4 будет иметь новую архитектуру, в которой будет изменена конфигурация процессора и…

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Samsung Galaxy M44 – флагманский процессор в бюджетном ... Появились первые упоминания о будущем смартфоне Samsung Galaxy M44 5G в базе данных Bluetooth SIG и Geekbench - что раскрывает очень горячие детали устройства

Выпуск первых Lada Iskra на конвейере начнется уже в июле, собирать новинку будут на одной линии с Lada Granta Несколько дней назад глава АвтоВАЗа Максим Соколов анонсировал скорую премьеру Lada Iskra, а сейчас инсайдерский паблик Avtograd News раскрыл подробности о производственных процессах. По словам инсайдеров, пробная сборка Iskra на конвейере запланирована на июль. «...

Ноутбуки Acer Swift засветились с новыми процессорами Intel Новые ноутбуки компании Acer, оснащённые процессорами Intel Meteor Lake, уже сегодня появились на сайте немецких розничных продавцов. Стоит напомнить, что Acer является последний производитель ноутбуков, чьи будущие модели с процессорами Intel Meteor Lake были представлены п...

Qualcomm готовит к релизу процессор Snapdragon 8s Gen 3 Сегодня появилась информация о том, что компания Qualcomm планирует расширить свой арсенал процессоров для мобильной платформы за счёт чипа Snapdragon 8s Gen 3, который, судя по различным источникам, будет представлен в самое ближайшее время. Более того, в китайских социальн...

RepubliK анонсировала первую соцсеть на базе искусственного интеллекта Компания RepubliK готовится запустить платформу SocialFi, работающую на основе искусственного интеллекта. Эта инициатива обещает произвести "революцию в создании, оценке и вознаграждении контента в Интернете". Но вопросы остаются.

NVIDIA Blackwell B200 потребляет 1200 Вт энергии Графические процессоры NVIDIA Blackwell B200 используют совершенно новую архитектуру, но всё равно потребляют очень много энергии — в два раза больше, чем графические процессоры прошлого поколения. Когда глава NVIDIA, Дженсен Хуанг, объявил о Blackwell во время презентации G...

Samsung планирует сделать Galaxy S24 ИИ-смартфоном Инсайдеры уверенно заявляют, что в январе компания Samsung официально представит флагманский смартфон Galaxy S24, который продемонстрирует пользователям то, куда двигается рынок современных смартфонов в целом. Всё дело в том, что инсайдеры заявляют, что новые смартфоны будут...

В России появится полный цикл производства и переработки шерсти Первый заместитель председателя Совета Федерации Андрей Яцкин рассказал журналистам, что на Ставрополье создадут законченный цикл производства и переработки шерсти, пишет ТАСС.

Процессор Qualcomm подружится с дискретными GPU, а Intel и AMD готовят новые мобильные CPU — инсайд Инсайдерские данные раскрывают планы компаний на ближайшие несколько лет.

“Made in China”: легким движением руки процессоры Intel Xeon превращаются в китайские чипы Китайские бренды не раз были замечены за копированием западных и не только разработок, будь то дизайн одежды или технологии. И речь не только о дешевых копиях вещей с Aliexpress, с которыми каждый из нас сталкивался, в отрасли производства и разработки электроники такое тож...

Смартфоны Huawei Mate 60 «взорвали рынок». Huawei резко увеличила план по выпуску новых смартфонов Из-за высокого спроса на серию Mate 60 компания Huawei поставила цель по поставкам смартфонов в следующем году на уровне 100 миллионов единиц. Это на 40% больше, чем компания планировала до выпуска серии Mate 60. Лукас Чжун, аналитик Canalys, подтвердил, что новые проду...

16 ядер китайского происхождения. Loongson готовится выпустить на рынок серверный процессор линейки 3C6000 Только вчера мы говорили о том, что четырёхъядерный китайский процессор Loongson 3C6000 при определённых условиях можно сравнивать с Ryzen 9 7950X и Core i9-14900K, а сегодня компания заявила, что уже готова вывести на рынок 16-ядерную модель этой же линейки.  Так...

[Перевод] Xv6: учебная Unix-подобная ОС. Глава 6. Блокировки Ядро ОС выполняет программы параллельно и переключает потоки по таймеру. Каждый процессор выполняет поток независимо от других. Процессоры используют оперативную память совместно, поэтому важно защитить структуры данных от одновременного доступа. Потоки испортят данные, если...

Bloomber: Японский миллиардер планирует запустить ИИ-стартап для конкуренции с Nvidia У основателя SoftBank Масаёси Сона большие планы относительно новой компании по производству чипов для искусственного интеллекта. Он готов инвестировать в бизнес $100 млрд.

NVIDIA GeForce RTX 3060 в ближайшем будущем должна подешеветь Некоторое время назад появилась информация о том, что производитель хочет заморозить выпуск видеокарты NVIDIA GeForce RTX 3060, чтобы дать пространство новому поколению видеокарт компании. Однако теперь ситуация в корне изменилась и инсайдеры уверены, что видеокарта в ближай...

АвтоВАЗ разрабатывает Lada размером с Toyota Camry, и она получит беспилотные технологии Глава АвтоВАЗа Максим Соколов сообщил о том, что завод работает над внедрением беспилотных технологий в автомобили Lada, причем это буду модели покрупнее Lada Vesta — классов C (длиной около 4,5 метра как Toyota Corolla) и даже D (длиной около 4,8 м, как Toyota Ca...

Россия готовится запустить в серийное производство ракету "Буревестник" с ядерным двигателем После успешных испытаний Россия готовится к массовому производству межконтинентальной ракеты "Буревестник" с ядерной энергетической установкой, обладающей практически неограниченной дальностью полета.

Информация от СМИ: Apple разделит App Store на два магазина О готовящемся нововведении рассказал журналист Bloomberg Марк Гурман: по его словам, компания уже в ближайшее время запустит отдельный региональный магазин приложений на территории ЕС

В России через два года появляться полностью импортозамещенные велосипеды Заместитель мэра Москвы Максим Ликсутов объявил о планах развития велопроката в столице и предстоящем производстве полностью российских велосипедов. Согласно его заявлению, первые полностью российские велосипеды могут появиться на московских улицах уже через два года, пишет ...

Samsung представит новую память GDDR7 Если верить инсайдерам, компания Samsung планирует представить свои самые быстрые модули памяти GDDR7 следующего поколения уже в следующем месяце — они будут поставляться с пиковой скоростью до 37 Гбит/сек для графических процессоров следующего поколения. На текущий момент S...

Предсказано большее потребление энергии дата-центрами, чем всей Индией к 2030 году Рене Хаас, глава Arm Holdings Plc, одной из крупнейших в мире бесфабричных компаний, разрабатывающих процессоры для разных классов устройств, включая серверы, заявил о необходимости пересмотреть подходы к разработке процессоров для дата-центров.

Больше не народный автомобиль? Россияне теряют интерес к «Ладам» АвтоВАЗ фиксирует снижение спроса на автомобили Lada — об этом сообщил глава компании Максим Соколов. Но при этом он отметил, что снижать объемы производства завод не будет. Фото: Autonews.ru «Мы видим снижение спроса, и эта цифра [продажа 37,5 тыс. ав...

В Россию привезут легковые машины, рамные внедорожники и грузовики SAIPA Директор по экспорту иранской компании SAIPA, Мансур Абтахи в интервью Sputnik объявил о намерении компании поставлять в Россию разнообразные транспортные средства через белорусского дистрибутора. Помимо легковых автомобилей, в планах компании поставлять в Россию рамные...

В СамГМУ готовятся к запуску производства цифровых тонометров Самарский государственный медицинский университет приступил к завершающему этапу, предшествующему запуску в серийное производство собственных цифровых тонометров: разработчик устройства — Институт инновационного развития СамГМУ — проводит серию исследований потребительских п...

К выходу готовится Snapdragon X – бывшие разработчики Apple ... Компания Qualcomm, известная преимущественно своими мобильными чипами, недавно анонсировала разработку нового поколения процессоров под названием Snapdragon X, предназначенных для использования в ноутбуках.

Процессор Intel Core Ultra 7 165H на архитектуре Meteor Lake появился в базе данных Geekbench 6 Этот процессор среднего ценового сегмента будет немного опережать по производительности 13-е поколение чипов, которые он призван заменить, но при этом будет потреблять меньше энергии.

Samsung Galaxy F14 и M14 4G готовятся к выходу: эффект дежавю! ... Компания Samsung, похоже, готовится выпустить 4G версии своих смартфонов Galaxy F14 и Galaxy M14, однако эффект дежавю меня не покидает... Xiaomi, не случайно ли это?

От роботов-пылесосов к топовым внедорожникам: в России официально появятся машины Rox Motor Молодая и амбициозная компания Rox Motor, основанная в 2021 году генеральным директором известного производителя роботов-пылесосов Roborock, готовится к официальному выходу на российский рынок. Новость о планах компании была озвучена на международном автосалоне в Пекине...

Представлен FreeBook Laptop 2023 Компания Chuwi предлагает ноутбук FreeBook Laptop 2023, который построен на базе процессора Intel Core i3-1215U

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

К выпуску готовится смартфон Vivo T3x В ассортименте компании Vivo вскоре должен появиться новый смартфон Vivo T3x, который уже проходит сертификацию регуляторов.

Процессор Snapdragon 8 Gen 4 с ядрами Oryon появится осенью 2024 года Компания Qualcomm объявила о сроках появления своего следующего процессора для смартфонов, который, как мы уже знаем, будет оснащен совершенно новыми технологиями, которые должны кардинально изменить производительность наших смартфонов.

Теперь и на Mac. Microsoft выпустила Windows 11 для новейших компьютеров Apple Начиная с 2020 года компания Apple выпускает новейшие компьютеры Mac, которые построены не на базе процессоров Intel, которые ранее использовались в продукции бренда на протяжении более чем пятнадцати лет, а на процессорах собственной разработки. С Читать полную версию публ...

Intel готовится выпустить новые GPU уже в этом году В производственных кругах обсуждается новое поколение графики Intel ARC Battlemage. Обсуждение проходит на конференции Embedded World 2024, где компания продвигает встраиваемые решения на основе графики ARC Alchemist, однако вне конференц-зала обсуждаются планы о выпуск...

OnePlus Pad 2 готовится к релизу В феврале прошлого года компания OnePlus официально представила свой первый планшет под названием OnePlus Pad — с того времени прошло уже больше года, так что было бы логично выпустить вторую версию. И сегодня появились первые данные о том, что планшет OnePlus Pad 2 будет вы...

Глава Nammo призывает ЕС перевести производство на военные рельсы Мортен Брандзег, глава оборонной компании Nammo, призывает Европейский Союз уделить больше внимания оборонной промышленности и обеспечить ей приоритетный доступ к критически важному оборудованию и электроэнергии.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

В следующем году Huawei откроет завод во Франции Стало известно о планах китайской компании Huawei построить и запустить завод по производству оборудования для сетей мобильной связи. Строительство должно начаться в 2024 году.

«Илон Маск, я думал о вас». Глава Intel пригласил миллиардера на экскурсию по заводу компании Компания Intel имеет амбициозный план стать вторым после TSMC крупнейшим производителем полупроводниковой продукции в мире к 2030 году. А для этого ей нужны клиенты. Глава Intel Пэт Гелсингер (Pat Gelsinger), видимо, видит в качестве потенциального клиента компанию Tesl...

Realme готовится представить 2 новых смартфона Narzo 70 5G и 70x 5G с процессорами Dimensity 7050 Realme Narzo 70 5G станет самым дешевым смартфоном с процессором Dimensity 7050 и AMOLED-дисплеем.

Ростелеком отчитался об успешной защите России от внешних хакерских атак во время выборов президента Ростелеком заявил об успешной защите России от внешних хакерских атак, зафиксированных во время президентских выборов. Об этом рассказал глава компании Михаил Осеевский на брифинге в ЦИК.

КамАЗ приступил к работе в новом 2024 году. Предприятие поделилось планами Главный сборочный конвейер КамАЗа сегодня, 9 января, снова заработал после приостановки производства на период январских праздников. В этом месяце завод планирует выпустить более 3,3 тыс. автомобилей. В 2024 году компания продолжит реализацию проектов, ориентированных н...

Motorola готовится к глобальному запуску линейки смартфонов Edge 50 Motorola готовится к мировому запуску своей линейки смартфонов Edge 50, включая Fusion и Ultra модели с процессорами Snapdragon 6 Gen 1 и Snapdragon 8s Gen 3 соответственно. Компания представит свои революционные устройства на международном рынке под девизом 'Интеллект встре...

Lada Aura не будет производиться в Санкт-Петербурге Сборка Lada Aura точно не будет осуществляться на заводе в Санкт-Петербурге, о чём пишет инсайдерский телеграм-канал «Автопоток». Вчера на некоторых ресурсах появилась информация о том, что Lada Aura (длиннобазная Веста) будет собираться в Петербурге. Это не...

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

В России запустили серийное производство материнской платы для процессоров «Байкал» и «Элвис» О запуске производства «матерей» для российских процессоров заявил ресурс Moscowseasons.

Опубликованы примеры фото с Realme GT Neo6 SE Компания Realme опубликовала первые фотографии, сделанные на основную камеру смартфона Realme GT Neo6 SE. По словам производителя, в грядущей новинке будет использоваться главный модуль на базе 50-Мп датчика с оптической стабилизацией. Также было подтверждено наличие 4...

К выходу готовятся платформы Snapdragon X Series, которые будут квантовым скачком вперед в производительности и энергоэффективности Компания Qualcomm готовится к ребрендингу своих платформ Snapdragon, хотя мобильных платформ это пока не коснётся. Следующее поколение SoC для ПК будет называться Snapdragon X Series. Подробности мы узнаем уже в ноябре. Об этом в своем блоге рассказал старший вице-през...

24 апреля будут показаны процессоры Qualcomm Snapdragon X Lenovo, HP и другие компании представят ноутбуки на базе Oryon

Подписка PlayStation Plus заглянет на ПК и смартфоны: инсайдер В ответ на угрозу от приобретения Microsoft компании Activision Blizzard, Sony готовится к масштабной перестройке своего сервиса PlayStation Plus. Утечка документов, ставших результатом недавнего взлома компании Insomniac, свидетельствует о том, что план Sony, получивши...

«Очень отзывчивый, динамичный и удобный автомобиль», — глава АвтоВАЗа поделился впечатлениями от езды на Lada Iskra Президент АвтоВАЗа Максим Соколов рассказал о впечатлениях от езды на Lada Iskra, на которой он поездил на полигоне предприятия. «Я, естественно, уже посидел за его рулем на нашем полигоне. И могу сказать, что это очень комфортный, очень отзывчивый, динамичный и у...

Утечка конфигурации процессоров Intel Emerald Rapids, появилось больше подробностей Процессоры Intel Xeon 5-го поколения Emerald Rapids должны появиться на рынке 14 декабря

Chevrolet Corvette, Tahoe и Suburban нового поколения ожидаются в 2024 году. Компания представила новый слоган Chevrolet меняется вместе со временем и анонсирует новый слоган, Together Let's Drive, который сменил старый (Find New Roads). Chevrolet также заявила, что Bolt является самым доступным электромобилем в Америке. Компания также предлагает Colorado за 30 695 долларов...

Болгария представила свой реактивный дрон-камикадзе NEM-600 Компания Hades Defense Sestems рассказала на что способен дрон-камикадзе Nemesis, производство которого начнется летом этого года в Болгарии?

Костромская областная аптечная база запустила новую линию производства лекарств Костромская областная аптечная база внедрила новую линию по производству лекарственных препаратов, увеличив перечень выпускаемых медикаментов с 90 до 250 позиций, пишет РИА Новости.

AMD готовится выпустить настольные процессоры Ryzen 8000G серии Phoenix Похоже, что компания AMD готовится выпустить новую серию APU Phoenix, которые должны выйти на рынок под маркой AMD Ryzen 8000G, основанной на вычислительных ядрах Zen 4 и графике RDNA 3.

OnePlus 12R совсем скоро отправится в релиз Сегодня появилась официальная информация о том, что смартфоны OnePlus 12 и OnePlus 12R появятся на международных рынках 23 января 2024 года. И хотя многие пользователи с куда большим интересом ждут релиза топового флагмана, вариант с более доступной ценой тоже неплох, особен...

Утечка конфигурации процессоров Intel "Emerald Rapids", появилось больше подробностей Процессоры Intel Xeon 5-го поколения Emerald Rapids должны появиться на рынке 14 декабря.

В 2024 году Capcom запустит новый проект, но это не очередной ремейк Resident Evil Компания Capcom, разработчик и издатель культовой игры Resident Evil, в недавнем финансовом отчёте рассказала о планах на 2024 год. В отчёте сказано, что будет запущен крупный проект, который разойдётся огромным тиражом.

В России создадут лабораторию аэрокосмического мониторинга пастбищ В пресс-службе Ставропольского государственного аграрного университета рассказали журналистам, что на базе университета появится лаборатория аэрокосмического мониторинга пастбищ, пишет ТАСС.

Глава военной разведки ВСУ Буданов призвал Украину готовиться к трудной ситуации в мае Неужели, как обещал в 2022 году Зеленский, выезд на природу с шашлыками не состоится?

К выходу готовятся новые процессоры AMD с самым мощным графическим ядром. Ryzen 7000G уже поддерживаются AGESA Похоже, вскоре на рынок выйдут настольные APU Ryzen 7000G с производительным графическим ядром.  Поддержка таких процессоров появилась в прошивке AGESA 1008 для системных плат. Это намекает на то, что анонс может быть уже близко. На странице описания платы Asus B6...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Chevrolet Lacetti/Gentra снимут с производства в Узбекистане Компания UzAuto Motors готовится остановить производство модели Chevrolet Lacetti/Gentra, собираемой на заводе GM в Узбекистане с 2003 года. Ожидается, что Lacetti уйдет с конвейера в начале 2024 года. На его месте встанут Onix, Cobalt 2 и новая бюджетная модель от Chev...

Превращенный в GPU для майнинга чип из PlayStation 5 начали продавать на eBay за $500 Графические процессоры для майнинга на базе APU от PlayStation 5 появились на eBay за 500 долларов. Однако имеют уникальную особенность - они поставляются с урезанными характеристиками, предлагая шесть ядер процессора, 8 ГБ GDDR6 и энергопотребление около 90 Вт.

В России появится наказание за дипфейки Минцифры совместно с МВД и Роскомнадзором разработают нормы правового регулирования дипфейков. Результаты работы ведомства представят до 1 ноября 2024 года.

На iPhone теперь официально появятся сторонние магазины приложений В связи с новыми антимонопольными нормами Евросоюза Apple была вынуждена внести в работу в iOS, Safari и App Store несколько знаковых изменений

Официально: Lada Granta может получить новую версию АвтоВАЗ рассматривает возможность расширения линейки автомобилей Lada Granta за счет добавления бюджетных вариантов без подушек безопасности. Об этом сообщили представители компании в пятницу, подчеркнув, что эти автомобили полностью соответствуют действующим в России н...

Брутально, просто и дешево – и традиционно надежно. Пикап Toyota Hilux Champ в стилистике нового Land Cruiser Prado и с очень простым оснащением запустят в серию Hilux – одна из самых популярных моделей Toyota в своем сегменте, но не сказать, чтобы этот пикап был уж очень дешевым. Поэтому компания подготовила более доступную альтернативу: Toyota Hilux Champ на базе недавно показанного концепта Toyota IMV 0. Когда появилис...

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

HUAWEI представила новый 5-нм чип Kirin 9006C Компания стремительно набирает обороты в производстве собственных процессоров для мобильных устройств.

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

AMD может доверить выпуск 4-нм процессоров компании Samsung Образцы уже существуют, а серийное производство стартует в следующем году.

Глобальная цель АвтоВАЗа на 2023 год достигнута не будет – завод не сможет выпустить 400 тыс. автомобилей АвтоВАЗ поставил на текущий год амбициозную цель произвести 401 тыс. автомобилей. Однако достигнуть этого у компании не получится: план производства будет скорректирован до 370 тыс. авто. Об этом, как пишет инсайдерский паблик Avtograd News, сообщил вице-президент по пр...

Планы Intel по завершению строительства завода по производству микросхем в Огайо были отложены и теперь компания ожидает, что новый завод будет запущен к концу 2026 года

Apple представила MacBook Pro на базе новых процессоров M3, M3 Pro и M3 Max Компания провела презентацию Scary Fast в ночь с 30 на 31 октября 2023 года.

Компания Minisforum готовит планшет на базе процессора AMD Hawk Point Предположительно, это одно из семейств в будущей серии AMD Ryzen 8000.

Acer выпустила ноутбуки Swift Edge 16 и Swift Go 14 на базе AMD Ryzen 8040 Компания Acer объявила о выпуске двух новых ноутбуков, Swift Edge 16 и Swift Go 14, оснащенных процессорами AMD Ryzen 8040.

Анонсирован ещё один игровой КПК на базе процессора Intel Его выпустит Tulpar, дочерняя компания турецкого Monster Notebook.

Компания Colorful представила свой первый мини-ПК Intel CMNH01 Мини-ПК CMNH01 построен на базе процессора Intel Core i7-12450H и подойдет для домашнего и офисного использования.

ASUS представила новые компьютеры и встраиваемые платы на базе процессоров Intel Core Ultra Компания ASUS IoT представила новые ультракомпактные компьютеры и встраиваемые платы на базе процессоров Intel Core Ultra

Глава ФСБ Бортников: диверсии Украины против АЭС в РФ будут ядерным терроризмом Глава ФСБ назвал планы Украины совершить диверсии против АЭС ядерным терроризмом

3K, 144 Гц, Snapdragon 8 Gen 2, 120 Вт, 50 Мп, 16 ГБ/1 ТБ и 50 Мп. Все характеристики флагманского планшета Xiaomi Pad 6S Pro объявлены до анонса Характеристики планшета Xiaomi Pad 6S Pro были опубликованы на официальной страничке компании в социальной сети Weibo и продублированы изданием IT Home. Устройство будет построено на базе однокристальной системы Qualcomm Snapdragon 8 Gen 2, планшет получит 12,4-дюймовы...

Стало известно, когда в Lada Vesta появится ESP, датчики света и дождя, а в Lada Granta – климат-контроль и обновленный интерьер Сегодня глава АвтоВАЗа Максим Соколов рассказал об оснащении машин предприятия подушками безопасности, но он также подтвердил сроки возвращения в автомобили ряда опций. Изображение: АвтоВАЗ Так, президент АвтоВАЗа подтвердил, что в марте начнут выпуск Lada Vesta с обно...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

В Министерстве Экономики Украины рассказали, к чему стоит готовиться в 2024 году По словам главы ведомства, без зарплат и выплат останутся порядка 2 миллионов госслужащих и 10 миллионов пенсионеров

Минэнерго Украины: Гражданам стоит готовиться к частым и длительным отключениям электричества По словам главы ведомства, украинцам стоит закупаться генераторами и пауэрбанками

«Здесь уже делить нечего, надо спасать людей»: Роскосмос и NASA унифицируют модули стыковки на космических кораблях Американское управление по аэронавтике и исследованию космического пространства (NASA) и Роскосмос не планируют пока строить совместную космическую станцию, однако готовятся оказывать взаимопомощь в космосе в случае необходимости. Об этом рассказал  глава Роскосмос...

Фотографии китайского процессора HiSilicon Kirin 9000s производства Huawei озадачили экспертов Процессор стал основой для смартфона Mate 60 Pro

Графические процессоры RX 8700 XT/8600 XT на базе AMD RDNA 4 получают первые исправления на LLVM Идентификаторы графических вычислений (GC) двух новых устройств появились в последних патчах для компилятора LLVM.

Наконец-то появилась сборка CPU-Z для Windows на ARM64 Новая версия на базе ARM64 позволит любому, кто использует компьютер с Windows на базе ARM, просматривать подробные характеристики своего процессора, включая тактовую частоту, распределение кэша, названия моделей процессора и многое другое.

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

AMD подвинули: новые процессоры Intel выйдут с самой быстрой встроенной графикой Intel готовится к запуску своих процессоров нового поколения, которые обещают установить новый стандарт в области встроенной графики.

Инсайдер: Новый процессор Snapdragon 7 станет «маленьким 8 Gen 3» Инсайдер Digital Chat Station поделился новыми сведениями о готовящемся к выпуску новом мобильном процессоре Qualcomm Snapdragon 7-й серии

АвтоВАЗ обнародовал период старта серийного выпуска российского электрокара Lada Largus Компания рассекретила свои планы по срокам начала производства своего автомобиля с электродвигателем.

Samsung раскрыла планы по разработке 3D памяти и стекированной DRAM Южнокорейская компания заявила, что 3D DRAM может появиться через несколько лет.

12-литровый игровой монстр нового поколения. Corsair представит геймерский мини-ПК One i500 на компонентах нового поколения уже в мае Компания Corsair готовится представить свой игровой мини-ПК One нового поколения.  Новинка под названием One i500 будет представлена уже 5 мая. Corsair отмечает, что новый ПК будет использовать компоненты нового поколения. Текущая модель, напомним, опирается на Co...

Apple может уменьшить экран iPhone 17 Plus Известный аналитик и глава компании Display Supply Chain Consultants Росс Янг рассказал о том, что в следующем году компания Apple может изменить диагональ дисплея iPhone 17 Plus. Причины такого решения эксперт не уточняет. Однако он уверяет, что сейчас купертиновцы серьезно...

Один из первых на Ryzen 9 8945HS. В Сети засветился новый ноутбук Asus ROG Zephyrus G14 В Сети засветился один из первых ноутбуков, основанных на новых мобильных процессорах AMD Ryzen 8000.   Модель Asus ROG Zephyrus G14, вероятно, будет доступна в разных конфигурациях с разными APU, но засветилась с флагманским в своей линейке Ryzen 9 8945HS.  ...

Acer представила свои первые ноутбуки на новейшем процессоре Intel Core Ultra 100 Компания Acer представила обновленную версию своего тонкого и легкого ноутбука Acer Swift Go 14 на базе новейших процессоров Intel Core Ultra 100.

Simply NUC представила свое новое устройство Brave Canyon NUC на базе процессоров Intel Компания Simply NUC только что представила свое новое устройство Brave Canyon NUC на базе чипов Intel для встраиваемых приложений

Глава МО Шойгу заявил об отсутствии планов у Генштаба по новой мобилизации в РФ Шойгу высказался о возможности новой мобилизации

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Резидент «Сколково» увеличил производство продукции для электродвигателей и робототехнических систем Компания разрабатывает и производит компонентную базу современных мехатронных и робототехнических систем.

Российские инженеры анонсировали серийное производство сразу двух новых транспортных дронов В Севастополе научный мир встречает новаторский прорыв: ученые СевГУ объявили о планах по созданию и последующему серийному производству двух уникальных транспортных беспилотных летательных аппаратов (БПЛА).

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Процессоры AMD на базе Zen 5 оказались более чем на 10% быстрее Zen 4 в однопотоке Cinebench R23 По значению IPC результаты процессоров AMD на базе Zen 5 более скромные.

Процессор флагманских смартфонов 2024 года Snapdragon 8 Gen 3 набрал более 2 млн баллов в тесте производительности Antutu Компания Qualcomm готовится к анонсу своего нового флагманского мобильного процессора, Snapdragon 8 Gen 3, который, как ожидается, будет представлен в конце этого месяца.

США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia Глава Nvidia Дженсен Хуанг (Jensen Huang) считает, что США ещё далеко до независимости на рынке полупроводникового производства.  По словам Хуанга, должно пройти ещё 10-20 лет до того момента, как США перестанут быть зависимы от тайванских и китайских производител...

Вот так AMD поддерживает старые сокеты. Компания выпустила новый процессор Ryzen 7 5700 и готовится выпустить ещё несколько AMD понимает, что длительная поддержка сокетов - её преимущество перед Intel. Платформа AM5 будет поддерживаться до 2025 года и даже после него На сайте AMD без лишнего шума прописался новый процессор: Ryzen 7 5700.   Это восьмиядерный представитель платформы AM4 ...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

МФТИ готовится к запуску серийного производства 3D-печатных протезов Группа студентов кафедры технологического предпринимательства Московского физико-технического института ставит на поток производство механических тяговых протезов рук с применением аддитивных технологий. Использование 3D-печати позволяет сокращать сроки производства в четыре...

Lada B-Cross вообще не напоминает Vesta. Появились подробности о новом кроссовере АвтоВАЗа Первый исполнительный вице-президент по стратегии и техническому развитию АвтоВАЗа Евгений Шмелёв рассказал о новых моделях компании, в частности, упомянув кроссовер на базе Vesta. Он заявил, что АвтоВАЗ ориентирован на разработку и производство автомобилей на платформе...

Несменный лидер Xiaomi покинул свою должность, чтобы сосредоточится на производстве автомобилей Лэй Цзюнь, основатель компании Xiaomi, спустя почти 14 лет работы уходит со своей должности генерального директора. Его место займет Лу Вейбин — теперь уже бывший глава Redmi.

AMD внедряет Zen 4c в ноутбуки с гибридным процессором Ryzen 5 7545U на базе Phoenix 2 Это первая официальная премьера процессора AMD с двумя разными типами ядер.

Amazon представила процессоры Graviton 4 и Trainium 2 Оба процессора имеют чиплетную конструкцию и произведены на базе 64-битной архитектуры Arm

В Москве запустят производство отечественных биопрепаратов В пресс-службе Московского комплекса экономической политики и имущественно-земельных отношений сообщили, что фармацевтическая компания "Артселленс" стала резидентом особой экономической зоны (ОЭЗ) "Технополис Москва" и готовится запустить производство отечественных биопрепар...

Пора ли готовиться к наступлению квантовой эры? Для квантовых вычислений могут существовать отличные сценарии использования, но никто точно не знает, как долго придется ждать готовой к производству машины, сообщает портал ComputerWeekly. По словам Эрена Крета, технического директора компании Signal ...

NASA разработает единый стандарт лунного времени по поручению Белого дома Белый дом поручил NASA создать единый стандарт времени для Луны, а также других небесных тел, сообщает Reuters со ссылкой на служебную записку главы Управления научно-технической политики Белого дома (OSTP) Арати Прабхакара. Согласно документу, к концу 2026 года должен ...

Apple готовится к релизу процессора A18 Pro Если верить информации зарубежных инсайдеров, которые специализируются на сливах информации о компании Apple, новый процессор A18 Pro для смартфонов выйдет в конце этого года и, вероятно, будет использоваться исключительно в моделях iPhone 16 Pro и iPhone 16 Pro Max, в то вр...

Смартфон Tonino Lamborghini TL96 получит 100-Мп камеру В базе данных китайского регулятора TENAA появились фотографии и характеристики смартфона Tonino Lamborghini TL96, который еще не был представлен официально. За его производство отвечает компания Tonino Lamborghini, основанная сыном создателя автомобильного бренда Lamborghin...

Буклет показал процессоры AMD Ryzen серии 9000 на базе Zen 5 Утечка флаера намекает на возможное появление процессоров AMD Ryzen серии 9000 на базе Zen 5

Вышел в продажу ASUS ROG Strix G18 – первый ноутбук на Intel 14-го поколения В продаже появился первый ноутбук на базе процессора Intel Core i9 14-го поколения ASUS ROG Strix G18, который был анонсирован на выставке CES 2024. ОсобенностиASUS ROG Strix G18 обладает 18-дюймовым IPS-дисплеем с разрешением 2560×1600 пикселей, частотой обновления…

Завод АвтоВАЗа в Ижевске планирует производить до 50 тысяч автомобилей в год Российский автомобильный завод АвтоВАЗ собирается производить на своих мощностях в Ижевске 50 тысяч машин в год, рассказал глава Удмуртии Александр Бречалов во время доклада о ситуации в регионе. По его словам, в этом году будет запущено серийное производство электромоб...

Представлен мощный ноутбук Kubuntu Focus M2 на базе Linux Компания Kubuntu Focus выпустила новый ноутбук Focus M2 пятого поколения, в основе которого лежит процессор Core i9-14900HX.

Acer представила видеокарты Radeon RX 7700 XT, RX 7600 XT и RX 7800 XT Теперь модельный ряд компании пополнился ещё четырьмя картами на базе графических процессоров AMD Radeon

Nvidia и Intel выясняют причины сбоя в видеокартах GeForce, работающих на базе Raptor Lake Компания Nvidia заявила, что проблемы со стабильностью в Raptor Lake связаны не с видеокартами, а с самими процессорами Intel.

Minisforum представила 14-дюймовый планшет V3 на базе Ryzen 7 8840U Компания Minisforum представила свой первый 14-дюймовый планшет на базе процессора AMD Ryzen 7 8840U с дисплеем 2K и частотой обновления 165 Гц.

Будущий Vivo V30 SE появился в Google Play Console Похоже, что компания Vivo работает над телефоном серии V30, данные о котором появились в базе данных Google Play Console.

Microsoft готовит ноутбуки на базе ИИ Если верить информации иностранных журналистов, компания Microsoft намерена провести фирменное мероприятие в Сиэтле уже 20 мая, чтобы подробно изложить своё видение «AI PC» (речь про компьютер на базе искусственного интеллекта). Это событие состоится за день до начала «Конфе...

Глава правящей партии Украины подтвердил отсутствие плана боевых действий на 2024 год Военное командование его не предоставило.

Глава Минобороны Украины верит в наличие в Киеве плана боевых действий на следующий год Только верить, собственно, и остаётся.

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

В России представили первый сервер отечественного производства на базе процессоров Intel Xeon нового поколения Российская компания разработчик и производитель IT-оборудования Delta Computers представила Delta Serval, первый в России сервер на базе процессоров Intel Xeon Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids. Как подчеркнули в пресс-службе, он по...

В России появилась новая платформа для умных телевизоров – для телевизоров Ozon и со встроенными сервисами VK Ozon при сотрудничестве с VK разработал собственную операционную систему для умных телевизоров Hartens. Об этом рассказала пресс-служба  VK (бывшая Mail.ru Group).  Голосовой помощник Маруся, магазин приложений RuStore и другие сервисы VK, а также собственное...

Ещё одна новая видеокарта AMD из старой линейки. К выходу готовится Radeon RX 6750 GRE 12GB Компания Gigabyte подтвердила, что на рынке вскоре появится видеокарта Radeon RX 6750 GRE. Непроверенные слухи о ней появились в Сети какое-то время назад.  Точные характеристики ещё неизвестны, но сообщается о 12 ГБ памяти, как и у Radeon RX 6700 XT и RX 6750 XT....

Apple не выпустит Mac mini на процессоре M3 По информации от надежного источника, Apple откажется от выпуска Mac mini на базе процессора M3. Бюджетный настольный ПК перейдет сразу на чип следующего поколения.

Lenovo Xiaoxin Pro 14 2024: OLED-экран, процессор Core Ultra 7 и до 25 часов работы Lenovo расширила линейку ноутбуков Xiaoxin, выпустив модель Xiaoxin Pro 14 2024 года на базе новейших процессоров серии Intel Core Ultra

В мобильные процессоры Intel Lunar Lake-MX встроят память Samsung LPDDR5X Intel готовится к революции в мобильном сегменте процессоров с новым поколением CPU Lunar Lake-MX, которые будут оснащены памятью LPDDR5X от Samsung, упакованной прямо на чип.

WS: Румыния и Польша расширяют военные базы и готовятся занять Западную Украину На заднем дворе украинского конфликта замаячил Вашингтон с крупными военными базами в Польше и Румынии

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

Российская разведка знала о готовящейся операции с участием подразделений ГУР и РДК По информации инсайдера, некто передал Кремлю все планы и маршруты операции

Realme раскрыла дизайн смартфона GT5 Pro Компания Realme опубликовала изображение флагманского смартфона Realme GT5 Pro, официальная презентация которого запланирована на 7 декабря. Рендер демонстрирует самую интересную часть дизайна – крупный блок тыльной камеры и заднюю панель из кожи. Что до технических хар...

Позже, чем для CPU Intel, и почему-то не для старых Ryzen. AMD работает с Microsoft над добавлением мониторинга блока NPU в диспетчер задач Windows Современные мобильные процессоры AMD уже имеют аппаратные блоки для работы с ИИ. И вскоре каждый желающий сможет оценить загрузку этого блока прямо в Windows.  Как сообщается, компания работает с Microsoft над тем, чтобы добавить окно мониторинга активности NPU в ...

Твердотельный накопитель TeamGroup MP44S емкостью 2 ТБ: бюджетная связка из Phison E21T и QLC-памяти Micron, зато в формате M.2 2230 Платформа Phison E21T в комплекте со 176-слойной QLC-памятью Micron N48R достаточно популярна в разных форм-факторах. По меркам сегодняшнего дня это самый начальный уровень в плане производительности, однако на такой базе можно делать очень компактные и емкие накопители — не...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Десятки тысячи Hyundai и Kia могут собрать в России под брендами GAC или BAIC Существует несколько сценариев для будущего производства автомобилей на бывшем заводе Hyundai в Санкт-Петербурге. По информации «Фонтанки», рассматривается вариант использования оставшихся компонентов на предприятии, что предполагает производство тех же моде...

Intel назвала дату презентации новых процессоров, на которой компания откажется от линейки Core i Intel готовится к запуску новой серии процессоров и компания проведёт соответствующую презентацию уже 14 декабря.

Samsung Galaxy S22 получит технологии на базе ИИ Одним из главных преимуществ серии смартфонов Galaxy S24 является Galaxy AI — благодаря сочетанию лучших аппаратных и программных решений Samsung, технологии на базе искусственного интеллекта существенно упрощает жизнь пользователей, предоставляя новые инструменты для выполн...

NVIDIA GeForce RTX 4070 Super может получить 16 ГБ памяти и графический процессор AD103 Также инсайдеры приписывают компании планы выпустить удешевлённую RTX 4070 с более медленной памятью

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

В России полностью прекратилось производство автомобилей Kia: все некомплектные Kia Seltos и Kia Soul дособраны и отправлены дилерам Под конец прошлого года официальные дилеры Kia начали получать кроссоверы Kia Seltos и хетчбэки Kia Soul, выпущенные в Калининграде на «Автоторе». Это были некомплектные автомобили, но компании удалось найти недостающие комплектующие и дособрать машины. Тепе...

iPad Pro 2024 получат лучшие OLED-экраны на рынке Глава компании Display Supply Chain Consultants Росс Янг рассказал о том, что новые iPad Pro, которые выйдут на следующей неделе, получат лучшие OLED-экраны на рынке.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также можете ознакомиться с другими мате...

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

Tiny Corp. объявила о приостановке разработки своего проекта искусственного интеллекта Компания Tiny Corp. объявила о приостановке разработки своего проекта искусственного интеллекта под названием TinyBox на базе графического процессора AMD Radeon RX 7900 XTX

Игровой ноутбук Colorfire Meow R15 получил CPU Ryzen 7000 Компания Colorfire анонсировала новый игровой ноутбук Meow R15 с необычным дизайном и мощной начинкой на базе процессоров AMD Ryzen 7000.

Nvidia и AMD начнут производить ARM-процессоры для компьютеров на базе Windows Это самая большая угроза с которой когда-либо сталкивалась компания Intel.

Biostar представила видеокарту на графическим процессоре Intel Arc A750 Компании потребовалось всего чуть больше двух лет, чтобы выпустить видеокарту на базе Arc A750.

Colorful выпустила свой первый мини-ПК на базе Core i5-12450H В настоящее время компания работает над другими моделями с процессорами Intel и AMD последнего поколения

Прощай, разнообразие. В 2024 году Changan перестанет продавать в России пять моделей – из гаммы исчезнут кроссоверы CS55, CS75 FL, CS85, дорестайлинговые CS35 Plus и CS95 В рамках состоявшегося сегодня Российско-Китайского автопромышленного форума Changan рассказала о планах на 2024 года. Кратко их можно охарактеризовать как кардинальные перемены. Изображение: Changan Во-первых, росшая как на дрожжах российская гамма автомобилей Ch...

Samsung в ударе: компания выпустила в первом квартале 2024 года 64,5 миллиона смартфонов и планшетов, хотя планировала выпустить только 53 миллиона Samsung перевыполнила собственный же план по выпуску новых мобильных устройств — смартфонов и планшетов — на 22%. Как сообщает корейский ресурс The Elec, при плане в 53 миллиона единиц на первый квартал текущего года Samsung выпустила 64,5 миллиона смартфоно...

Белый дом: Глава Пентагона Ллойд Остин будет исполнять обязанности из больницы Планов по его увольнению у властей нет.

В Роспотребнадзоре объяснили, почему важно готовиться к туристическим поездкам Глава Роспотребнадзора Анна Попова объяснила, почему важно всегда готовиться к туристическим поездкам.

ULA готовится к первому полету ракеты Vulcan Centaur Первый запуск ракеты-носителя Vulcan Centaur намечен на 24 декабря. Эти ракеты оснащаются двигателями производства американских компаний Aerojet Rocketdyne и Blue Origin. Последняя потеснила российское НПО «Энергомаш» с его РД-180. Силовые установки обеих американских компан...

Стало известно, когда российский завод Hyundai возобновит работу Глава Минпромторга Денис Мантуров рассказал, когда состоится перезапуск российского завода Hyundai. Под конец 2023 года появились слухи, что производство запустят уже в январе, но в реальности сборка авто начнется позже. Фото: Hyundai «Что касается Hyundai, мы ра...

Colorful представила мини ПК на базе процессора Intel Core i5-12450H Оснащенный эффективным процессором Intel Core 12-го поколения в компактном корпусе, CMNH01-12450 предназначен для решения повседневных задач, связанных с производительностью и развлечениями.

Tecno привезла в Россию металлические ноутбуки T1 с Intel и AMD на выбор Tecno объявил о старте продаж обновлённых ноутбуков Megabook T1 в России, которые теперь базируются на более современных процессорах. Это сравнительно лёгкие металлические ноутбуки для работы с мощными процессорами и тремя вариантами исполнения: диагональю 15,6 дюймов на баз...

Как цифровые технологии могут прокачать бизнес рассказали в ИТМО  На базе факультета технологического менеджмента и инноваций (ФТМИ) ИТМО по инициативе компании Юнисендер состоялся воркшоп «Современные цифровые инструменты в бизнесе и маркетинге». Участниками воркшопа стали студенты, преподаватели, а также все желающие гости. Спикерами выс...

Графика процессоров AMD Strix Point сможет сравняться с RX 6400 и RTX 3050 В плане графики процессоры станут существенно мощнее.

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

SMIC и Huawei готовятся к массовому производству 5-нм чипов Технологическая гонка: Китай стремится завоевать лидерство в микроэлектронике.

Windows 11 версии 24H2 не будет работать на старых процессорах без инструкции POPCNT Стало известно, что Windows 11 версии 24H2 будет требовать наличие процессора с поддержкой инструкции POPCNT, что сделает невозможным запуск системы на очень старых компьютерах. Отметим, что эта инструкция была представлена компанией AMD в 2006–2007 годах вместе с архитекту...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)