Социальные сети Рунета
Воскресенье, 12 мая 2024

Нидерланды начали мешать ASML поставлять оборудование в Китай Нидерландская компания ASML, крупнейший производитель литографического оборудования, необходимого в том числе для производства интегральных схем, заявила о приостановке экспорта части оборудования в Китай. Это связано с санкциями США.

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Власти Нидерландов попытались не дать ASML покинуть страну Правительство Нидерландов пытается предотвратить отъезд из страны самой ценной технологической компании — ASML. Ведущий мировой производитель оборудования для производства микросхем, компания ASML играет важную роль в экономике и технологическом положении Нидерландов. Однако...

Будущий рост ASML в Нидерландах неясен на фоне проблем с иммиграцией Гигант по производству оборудования для чипов ASML выразил обеспокоенность по поводу того, чтобы оставаться в Нидерландах и рассматривать возможность расширения в другие страны из-за ограниченных возможностей его родной страны. Ключевым вопросом является способность Нидерлан...

Такая компания нужна нам самим: производитель литографов ASML остаётся в Нидерландах ASML, лидер на рынке литографических систем, обеспечивает работой 23 000 сотрудников, около 40% из которых не являются гражданами Нидерландов. Крупнейшая технологическая компания Европы покупает комплектующие из разных стран мира, но собирает своё оборудование в городе ...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Reuters: Samsung может использовать технологию SK hynix для увеличения производства памяти HBM Ключевая технология, используемая для производства чипов искусственного интеллекта, является причиной того, что крупнейший в мире производитель памяти, корейская компания Samsung Electronics, отстает от конкурентов из своей страны и США

F2 innovations (часть 1) - Обзор компании Инженеры LIDER-3D посетили производство компании F2 innovations в Перми, где ознакомились с оборудованием компании и узнали о тонкостях производства!А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подробности о розыгрыше...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Нидерланды могут полностью запретить Meta* из-за проблем с безопасностью данных Правительство Нидерландов всерьёз нацелилось на полный запрет в стране компании Meta*, частью которой является соцсеть Facebook*.

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Материнские платы MSI теперь поддерживают до 256 ГБ оперативной памяти Сегодня компания MSI стала ещё одним производителем материнских плат, представившим поддержку оперативной памяти DDR5 объёмом до 256 ГБ на своих материнских платах с чипсетами серии Intel 700 и 600. Собственно, в прошлом году крупные производители памяти представили множеств...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

MediaTek готовит к релизу процессор Dimensity 9300 На рынке мобильных процессоров в течение последних десяти лет ключевую роль играет компания Qualcomm, которая поставляет большинство флагманских процессоров для всех крупных производителей смартфонов. Это вполне ожидаемо, так как у компании есть передовые технологические про...

Нидерланды готовы отказывать Китаю в обслуживании оборудования ASML Ранее такого шага от нидерландской компании требовали Соединённые Штаты Америки, чтобы изолировать Китай от передовых технологий

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Китай наносит ответный удар США, который ощутят Intel и AMD: операторы связи должны полностью отказаться от чипов иностранного производства к 2027 году Китайские операторы связи должны отказаться от использования чипов иностранного производства в сетевом оборудовании к 2027 году, согласно новому требованию властей. Как сообщает сообщает газета The Wall Street Journal (WSJ) со ссылкой на собственные источники, Министерс...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Крупные производители микрочипов приостановили закупки оборудования у ASML Holding NV Продажи компании, специализирующейся на выпуске передового оборудования, резко упали в первом квартале

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Новый сканер Shining 3D FreeScan Trio: сканирование без границ и маркеров SHINING 3D - компания с почти 20-летним опытом разработки, производства и популяризации высокоточных 3D-технологий. SHINING 3D предлагает доступные и высококачественные цифровые 3D-решения для пользователей в различных отраслях по всему миру. Являясь ключевым игроком в инду...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Тайвань показывает зубы: производители чипов сталкиваются с ограничениями Тайвань усиливает защиту ключевых технологий страны. Так, правительство Тайваня обнародовало список из 22 технологий в пяти секторах производства (оборона, космос, сельское хозяйство, полупроводники и информационная безопасность ), которые будут дополнительно защищены от ут...

Южная Корея инвестирует $ 7 млрд в искусственный интеллект Президент Южной Кореи Юн Сок Ёль объявил о планах инвестировать почти $ 7 миллиардов в искусственный интеллект к 2027 году с целью стать глобальным лидером в создании передовых полупроводников. Эта инициатива направлена на укрепление позиций страны в производстве микросхем, ...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Береговые ветровые турбины наибольшего диаметра для ветропарка «Хайань» во Вьетнаме Компания Electric Wind Power, дочерняя компания Shanghai Electric, ведущий китайский производитель оборудования для береговой ветроэнергетики и один из крупнейших китайских производителей оборудования для морской ветроэнергетики, подписала соглашение о поставке своих передов...

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Нидерланды инвестируют $2,7 млрд в инфраструктуру региона Эйндховен ради ASML Власти Нидерландов пошли на беспрецедентные инвестиции в инфраструктуру в ответ на угрозу ведущей полупроводниковой компании ASML покинуть страну - дороги, поезда и образование включены в пакет.

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

США требуют от Нидерландов ввести полный запрет на обслуживание литографических систем ASML в Китае Американская администрация оказывает давление на Нидерланды, требуя запретить голландской компании ASML обслуживать в Китае оборудование по производству микросхем.

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Supermicro расширил производство до 5 000 решений для ИИ, HPC и систем охлаждения Supermicro, Inc., производитель комплексных ИТ-решений для искусственного интеллекта, облачных технологий, систем хранения данных и 5G/Edge, расширяет возможности поставки стоек для искусственного интеллекта и высокопроизводительных вычислений, а также передовые решения для ...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

TSMC приостановила производство чипов после землетрясения на Тайване Тайваньская компания по производству полупроводников (TSMC), крупнейший в мире производитель современных чипов, остановила работу некоторых машин по производству микросхем и эвакуировала персонал после крупнейшего землетрясения, произошедшего на острове. Это может вызвать…

Правительство США поддержит производство микрочипов в стране Правительство США выделяет миллиарды долларов на развитие производства микрочипов, укрепляя лидерство страны в этой ключевой отрасли.

Прототипирование и проверка дизайна детских товаров с помощью 3D-принтеров от Intamsys Японская корпорация Combi — одна из крупнейших и старейших компаний в области разработки и производства товаров для детей и родителей, работающая с 1957 года. Сегодня компания продает продукцию высочайшего качества почти в ста странах. Корпорация Combi владеет и управляет пр...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Shanghai Electric представил новые решения на Всемирном саммите по энергетике будущего 2024 в Абу-Даби Shanghai Electric Power Generation Group, ключевая дочерняя компания Shanghai Electric, специализирующаяся на производстве энергетического оборудования, проектировании и обслуживании электростанций, продемонстрировала свое передовое энергетическое оборудование и решения для ...

«О сборке Tiggo 9 речь однозначно не идёт и не шла», — в «Автодоме» не подтвердили планы по выпуску машин Chery на заводе Mercedes Компания «Автодом», которая с апреля этого года владеет активами Mercedes-Benz в России, не подтвердила опубликованную сегодня информацию о подготовке производства автомобилей Chery на заводе в подмосковном Есипово. «О сборке указанных моделей Tiggo 9 ...

«Ростех» рассказал о создании нового противодронового комплекса Инженеры включенного в структуру «Ростеха» НПО «Квант» завершили разработку передового комплекса «Гроза.04.К», ключевой задачей которого является противодействие дронам формата FPV. Массовое производство системы уже запущено.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Производитель “мяса из пробирки” Upside Foods столкнулся с критикой властей Компания Upside Foods, ведущий претендент на звание лидера в отрасли производства "мяса в пробирке", отложила планы по строительству крупного завода в Иллинойсе. Это знаменует собой значительные изменения для компании, которая ранее позиционировала его как "точку невозврата"...

Всё о VoxelDance Additive - на вебинаре от производителя! VoxelDance - инновационная компания по разработке программного обеспечения для 3D-печати, специализирующаяся на промышленных программных решениях и услугах для аддитивного производства. На текущий момент бренд предлагает два продукта: VoxelDance Additive и VoxelDance Tango.V...

Каждый запрос в ChatGPT обходится OpenAI в 4 цента. Компания думает выпуске собственных ИИ-чипов Использование ChatGPT обходится компании OpenAI очень дорого. Согласно данным аналитика Bernstein Стейси Расгон, каждый запрос стоит примерно 4 цента. Поэтому компания OpenAI изучает возможность создания собственных чипов искусственного интеллекта, о чем пишет ...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Импортозамещение по-американски: развертывание собственного производства полупроводников идет не совсем по плану В 2022 году мы опубликовали статью «Импортозамещение по-американски: крупнейшие производители полупроводников мира строят фабрики в США». В ней говорилось о том, что американцы собираются нарастить свое присутствие на глобальном рынке полупроводниковых элементов. Дело в том...

Россия обсудила с Saipa и Iran Khodro совместное производство машин и комплектующих Официальные лица Ирана и России подчеркнули расширение двустороннего сотрудничества в области испытаний и совместного производства транспортных средств и автомобильных запчастей. На состоявшейся в субботу в Тегеране встрече официальные лица Ирана и России обменялись мне...

Обзор RangeVision Pro 2 быстрее, легче, точнее! В продажу поступил новый 3D сканер российского производства RangeVision Pro 2. В этой статье рассказываем о новинке и ее особенностях! RangeVision - российский производитель профессионального оборудования для 3D измерений. Компания разрабатывает и создает 3D сканеры метроло...

Что такое удержание сотрудников Удержание сотрудников становится одним из ключевых приоритетов для компаний по всему миру. Это не просто о том, чтобы держать сотрудников в компании как можно дольше; это о создании такой среды, где каждый чувствует себя ценным, вовлечённым и мотивированным продолжать вносит...

Ничего личного, просто бизнес. Китай наращивает закупки литографов, а ASML гарантирует поставку иммерсионных DUV и более передовых систем несмотря на санкции США Ассоциация полупроводниковой промышленности (Semiconductor Industry Association, SIA) опубликовала очередной отчет о мировых продажах оборудования для печати полупроводниковых систем в августе текущего года. Как отмечается, продажи достигли отметки в 44 миллиарда д...

Стартап Pal-V заключил контракт на поставку 100 летающих автомобилей в Дубай Стартап из Нидерландов Pal-V подписал крупное соглашение с дубайской компанией Aviterra на поставку 100 летающих автомобилей, целью которого является революционизация транспортной инфраструктуры на Ближнем Востоке и в Африке.

ASML предупреждает: если США продолжат усиливать санкции относительно Китая, это может плохо сказаться на бизнесе компании и её партнёрах Компания ASML, являющаяся крупнейшим в мире, а в некотором смысле и единственным, производителем современных систем для выпуска чипов, заявила, что дальнейшее ужесточение санкций со стороны США может сильно навредить компании.  Геополитическая напряженность может ...

В Нидерландах победила партия Герта Вилдерса, противника ислама и помощи Украине Партия планирует провести референдум по выходу страны из Евросоюза, провести деисламизацию Нидерландов и прекратить помощь Украине.

В России научились производить алмазные пластины для электроники Российские учёные разработали технологию производства алмазных пластин для электроники

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

Производители DRAM стали скрывать цены после землетрясения на Тайване Повреждение завода по производству пластин на Тайване из-за землетрясения спровоцирует перебои с поставками чипов памяти и рост цен.

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

DNP разрабатывает процесс изготовления фотомасок для 3-нм EUV-литографии Компания Dai Nippon Printing Co. (DNP) успешно разработала процесс производства фотомасок, способных работать с 3-нанометровым (10-9 м) литографическим процессом, который поддерживает экстремальную ультрафиолетовую (EUV) литографию - передовой процесс производства полупровод...

RISC-V шагает по планете: в Китае появилась еще одна компания по производству чипов. Что о ней известно? В том, что в КНР начнет работу новая компания, разрабатывающая RISC-V процессоры, вроде бы нет ничего удивительного. В стране очень мощная отрасль производства электроники, в ней работают тысячи и тысячи компаний. Но глава этого "новичка" - экс-руководитель "дочки" ARM в Кит...

Продажи производителя iPhone Hon Hai выросли на 19% вопреки пессимистичным прогнозам Компания Hon Hai, крупнейший производитель iPhone, за апрель показала рекордный уровень продаж до 510,9 млрд долларов на фоне спроса на iPhone и оборудование для ИИ. Такой сильный рост, по мнению экспертов, может означать восстановление спроса на iPhone после спада в первом ...

Нидерланды сделают все, чтобы оставить ASML в стране: миллиардные инвестиции и всесторонняя помощь Привет, Хабр! Недавно мы опубликовали статью «ASML собирается уйти из Нидерландов. Производитель литографов целится на международный уровень». В ней говорилось о том, что производитель самых современных литографов в мире планирует перенести штаб-квартиру из Нидерландов из-з...

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

В России возобновят строительство мусороперерабатывающего завода под Казанью ИНТЕРФАКС: компания "РТ-Инвест" подписала контракт c китайской корпорацией Chongqing Sanfeng Covanta Environmental Industry Co., LTD. на производство ключевого оборудования для завода по термической переработке отходов в Татарстане

Запрет на поставки станков ASML в Китай спровоцировал падение акций компании и протест со стороны Пекина Производитель компьютерного оборудования ASML заявил, что запрет на поставки её оборудования в Китай спровоцировал падение акций компании и протест со стороны Пекина. Компания заявила, что правительство отозвало лицензию на экспорт некоторых машин, которым под давлением...

EIA оценила количество электроэнергии используемое для майнинга в США в 2,3% потребления страны Биткойн по-прежнему является криптовалютой требующей использование видеокарт или другого специализированного оборудования для его майнинга. Всему этому оборудованию требуется энергия, которая составляет 2,3% потребности США в электроэнергии.

Компания TSMC успешно прошла «проверку на прочность» после стихийного бедствия Несмотря на разрушительное землетрясение, крупнейший производитель чипов сумел запустить большинство передовых предприятий всего через 10 часов после катастрофы.

Ключи Supermicro, SSM, VMWare и лекарство от жадности Ни для кого не секрет, что крупные производители серверного оборудования любят продавать самые вкусные фишки своего оборудования за отдельную плату. Supermicro ничем не лучше: начиная с X9 (Romley), появилась возможность обновлять BIOS материнской платы из-под веб-интерфейса...

Cipher Mining купит более 37 000 биткоин-майнеров у Bitmain Компания Cipher Mining договорилась с китайским производителем Bitmain о покупке крупной партии оборудования для добычи первой криптовалюты

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

Министр торговли США предупредила Nvidia, чтобы компания не разрабатывала оборудование для Китая Заявление министра торговли США является самым прямым предупреждением Nvidia о необходимости отказаться от идеи с модифицированными видеокартами.

Rokit Dr. INVIVO 4D6: 3D-биопринтер-инкубатор для восстановления костей и хрящей - кейс Top 3D Group В 2023 году компания Top 3D Group поставила и интегрировала в научно-исследовательские процессы 3D-биопринтер Rokit Dr. INVIVO 4D6 для Национального медицинского исследовательского центра имени академика Мешалкина.ВидеоверсияКейсНовосибирский Центр — это одно из крупнейших в...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

В следующем году Huawei откроет завод во Франции Стало известно о планах китайской компании Huawei построить и запустить завод по производству оборудования для сетей мобильной связи. Строительство должно начаться в 2024 году.

Министр финансов Нидерландов убеждён, что ASML не покинет страну С компанией уже ведётся разъяснительная работа.

Компания Alphacool представила новую пластину Apex Distro Plate Y60 для корпуса HYTE Y60 Пластина обеспечивает оптическое обновление и имеет премиум-классные материалы

Немецкий концерн Rheinmetall и Литва договариваются о производстве 155-мм артиллерийских снарядов Литва намерена подписать соглашения с компанией Rheinmetall, считающейся одним из крупнейших производителей военной техники и вооружения в Германии и Европе, о создании на ее территории завода по производству 155-мм артиллерийских боеприпасов.

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

Крупнейшее падение прибыли Samsung в пять раз: опубликован прогноз аналитиков перед публикацией квартального отчёта Ожидается, что прибыль Samsung Electronics в третьем квартале упадет на 80% по сравнению с аналогичным периодом годом ранее, о чем пишет Reuters. Крупнейший в мире производитель чипов памяти, смартфонов и телевизоров объявит в среду предварительные результаты прибыли за...

TSMC установила исторический рекорд стоимости акций Акции крупнейшего производителя полупроводников, тайваньской компании TSMC (Taiwan Semiconductor Manufacturing Company), достигли исторического максимума, поднявшись днем почти до 23 долларов, согласно данным Тайваньской биржи (TWSE). По завершении торгов стоимость акци...

Wildberries вышел на китайский рынок Wildberries вышел на китайский рынок, о чем сообщила РБК основательница и владелица маркетплейса Татьяна Бакальчук. «Сейчас мы начинаем работать с производителями и продавцами из Китая — они будут напрямую поставлять свою продукцию к нам на площадку для...

Демографическая яма в России и как с ней бороться Не секрет, что Россия даже в усеченном варианте с 1991 г. обладает громадными территориями, для их удержания и развития необходимо большое количество населения. И сегодня наша страна находится в кризисе, решение которого напрямую влияет на развитие и благополучие России

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Российские ИТ-компании представили первый ПАК в виде гибридного рабочего места Производитель инфраструктурного оборудования Fplus, разработчик облачных решений «Базис» и разработчик ключевых компонентов для построения доверенной и безопасной ИТ-инфраструктуры Аладдин представили первый отечественный защищенный программно-аппаратный комплекс для создани...

Российские ИТ-компании представили первый ПАК в виде гибридного рабочего места Производитель инфраструктурного оборудования Fplus, разработчик облачных решений «Базис» и разработчик ключевых компонентов для построения доверенной и безопасной ИТ-инфраструктуры Аладдин представили первый отечественный защищенный программно-аппаратный комплекс для создани...

Российские HSM модули: Новый этап в защите данных платежных систем В начале 2024 года российские банки столкнулись с важным решением: перейти на оборудование отечественного производства для защиты транзакций по пластиковым картам. Этот шаг в рамках программы импортозамещения не только повышает безопасность финансовых операций, но и открывае...

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Япония инвестирует $1,3 млрд в Micron: поддержка развития микросхемного производства Япония вложит в производителя микросхем Micron $1,3 млрд У компании планы амбициозные

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

Китайские электромобили BYD появятся на рынке США благодаря новому заводу в Мексике Компания BYD Co Ltd, крупнейший в мире производитель электромобилей, объявила о планах построить новый завод в Мексике, чтобы увеличить свои поставки на рынок США. Компания также инвестирует в постройку завода в Бразилии для латиноамериканского рынка. Эти проекты являются ча...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

Смартфон от компании Polestar готов к запуску в Китае Долгожданный смартфон Polestar, о котором на самом деле многие никогда в жизни не слышали, совсем скоро отправится в полноценный релиз. Устройство будет представлено 23 апреля в Китае — производитель заявил, что локальный рынок Поднебесной является его основным рынком сбыта,...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Раскрыты механики удержания в играх, или почему вы не бросили «танчики» В современном игровом мире, где конкуренция за внимание пользователей невероятно высока, разработчикам необходимо уделять особое внимание удержанию игроков, пишет пользователь Хабра под ником crazyponysc. Именно механики удержания, грамотно интегрированные в игровой процесс,...

Университет штата Аризона и Deca Technologies создадут первый в Северной Америке центр RandD Университет штата Аризона (ASU) и компания Deca Technologies планируют создать первый в Северной Америке центр исследований и разработок в области передовой вентилируемой упаковки на уровне пластин (FOWLP)

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

Можно окончательно попрощаться со знаменитой функцией Touch ID от Apple Сообщается, что оборудование для производства необходимых для Touch ID iPhone чипов было окончательно отключено.

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

Космическая эволюция Пекина: коммерческий космос и спутниковые «созвездия» как ключевые отрасли будущего 8 сентября центральный офис муниципального правительства Пекина выпустил уведомление о «Плане реализации стимулирования инновационного развития отраслей будущего». Это реализация национальных планов и приоритетов, опубликованных за последние два года. В &laq...

Samsung и SK hynix остановили продажу подержанного оборудования из-за возможных санкций США Не раз при обсуждении причин успеха китайской полупроводниковой промышленности в условиях усиливающихся санкций США и их союзников звучало мнение, что китайские производители чипов могут получать необходимое оборудование на вторичном рынке. Южнокорейские производители Samsun...

Зеленский обсудил ситуацию на передовой с министром обороны Нидерландов Оллонгрен Зеленский встретился с министром обороны Нидерландов

Азиатские производители электроники нацелились на российский госсектор образования: Hisense планирует локализовать в РФ выпуск интерактивных панелей Hisense, известная своими телевизорами, планирует локализовать производство интерактивных панелей для образовательных учреждений в России. Этот шаг необходим для участия в государственных тендерах в рамках национального проекта «Образование», о чем пишет &la...

[Перевод] На крупнейшую медицинскую страховую компанию США подали иск из-за использования ИИ с 90%-ным процентом ошибок UnitedHealthcare, крупнейшая медицинская страховая компания в США, предположительно использует глубоко ошибочный алгоритм искусственного интеллекта для того, чтобы отменять решения врачей и неправомерно отказывать пожилым пациентам в важнейших медицинских услугах. Это привод...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

SpaceX Илона Маска отключит спутниковый интернет Starlink в регионах, где его быть не должно Компания SpaceX Илона Маска собирается ограничить доступ к своему сервису спутникового интернета Starlink из неавторизованных стран. Как сообщило авторитетное издание The Wall Street Journal, клиенты Starlink в Судане, Зимбабве и Южной Африке получили уведомления от Spa...

Правительство США владеет биткоинами на сумму 12 миллиардов долларов Правительство США является одним из крупнейших держателей биткоинов в мире.

Разработчик медицинской визуализации VITAL HealthCare вышел на CMEF На 89-й Китайской международной выставке медицинского оборудования (CMEF) в Шанхае состоялся дебют VITAL HealthCare, одного из 5 основных бизнес-подразделений VITAL Group. На выставке компания VITAL HealthCare представила портфель передовых решений для визуализации. Флагмано...

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

Китай прорывает блокаду в битве за чипы? Страна планирует удвоить производство в течение пяти лет Масштабная конкуренция между производителями микросхем усиливается из-за весьма активного наращивания производственных мощностей Китаем. Поднебесная прикладывает серьезные усилия для достижения ведущей роли в индустрии разработки и производства электроники. Потребители от т...

Радиусомер (R25-R50) Диапазон измерения стареньких (ГОСТовских) радиусомеров, вот такого типакоторыми я пользуюсь по работе - от 1 мм до 25 мм, но мне часто бывает необходимо замерять скругления несколько большего радиуса. Поэтому я спроектировал радиусомер с диапазоном измерения от 25 мм до 50 ...

Бывшие российские заводы Volkswagen, Nissan и Hyundai хотят объединить в гигантский кластер На базе автозаводов в Санкт-Петербурге, Ленинградской и Калужской областях могут создать Межрегиональный кластер автомобильной промышленности (МКАП), о чем пишет «Известия» со ссылкой на источник в правительстве. Такой план уже разрабатывают Минэкономразвити...

Samsung признала многократное падение прибыли Как и ожидалось, компания Samsung Electronics сегодня, 11 октября, отчиталась о результатах своей деятельности за третий квартал текущего года. Крупнейший в мире производитель чипов памяти, смартфонов и телевизоров подтвердил, что операционная прибыль составила 1,79 млр...

Производители микросхем инвестируют свыше 300 миллиардов долларов на производство в США По прогнозам аналитиков, к 2030 году Штаты будут производить порядка 20% от всех передовых чипов и полупроводников.

Исход выборов в Нидерландах может существенно повлиять на бизнес ASML Компания остаётся крупнейшим поставщиком литографического оборудования.

TSMC якобы не торопится с внедрением оборудования для High-NA EUV Экстремальное ультрафиолетовое излучение (EUV) с высокой числовой апертурой (High-NA). Компания Intel Foundry Services (IFS) станет одним из первых производителей полупроводников, которые начнут работать на новейшем оборудовании ASML

Инновационный Саммит Российская компания «Систэм Электрик» (Systeme Electric), производитель комплексных решений в области распределения электроэнергии и автоматизации, приглашает принять участие в Инновационном Саммите 2024! Мероприятие состоится 15-18 апреля 2024 г. в ЦВК «Экспоцентр» на Красн...

Крупнейший производитель оборудования для майнинга не может выплачивать зарплаты сотрудникам У Bitmain попросту кончились деньги.

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

Рост потребления пластин NAND у Samsung, но компания сохраняет осторожность Samsung наращивает производство пластин NAND, но при этом сохраняет осторожность, опасаясь влияния на цены. Ожидается подорожание NAND в следующем квартале.

В Москве прошла выставка Ruplastica 2024 С 23 по 26 января 2024 года в ЦВК «Экспоцентр» прошла международная выставка пластмасс и каучуков Ruplastica с экспозициями Upakexpo, Recycling Solutions и Additive Minded, а также с новым проектом «Пресс-формы и штампы». Выставка представила на передовые решения ведущих рос...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

Леонардо Ди Каприо поддерживает два стартапа по производству искусственного мяса Обладатель «Оскара» Леонардо Ди Каприо инвестирует в Mosa Meat из Нидерландов и Aleph Farms из Израиля. Компании занимаются разработкой искусственного мяса.

Китай вложил 40 миллиардов долларов в импорт оборудования для производства чипов в 2023 году После заявлений о скорых санкциях со стороны США, китайские компании начали активно скупать всё новейшее оборудование

США разрешат Samsung поставлять в Китай оборудование для производства чипов Министерство торговли США продлит разрешение на ввоз в Китай оборудования для производства чипов памяти компаниями Samsung Electronics и SK Hynix. Этим вендорам не понадобится получать какие-либо разрешительные документы.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

КамАЗ приступил к работе в новом 2024 году. Предприятие поделилось планами Главный сборочный конвейер КамАЗа сегодня, 9 января, снова заработал после приостановки производства на период январских праздников. В этом месяце завод планирует выпустить более 3,3 тыс. автомобилей. В 2024 году компания продолжит реализацию проектов, ориентированных н...

Китайский импорт литографического оборудования из Нидерландов в ноябре вырос в 10 раз Но это ещё не говорит о провале санкций США и их союзников.

Роботы-гуманоиды компании Sanctuary AI пройдут крупные заводские испытания Канадский производитель роботов-андроидов Fenix с манипуляторами, максимально похожими на человеческие руки, Sanctuary AI, заключил договор с одним из крупнейших мировых производителей автозапчастей Magna International Inc.

Немецкая Covestro начала производство химиката с сахаром вместо нефти В ходе эксперимента на одном из крупнейших химических комплексов в Европе немецкая компания Covestro тестирует производство ключевого продукта из сахара вместо нефти, стремясь уменьшить углеродный след промышленности.

Трамп проведет депортацию миллионов мигрантов внутри страны Бывший президент и его помощники разрабатывают планы по депортации миллионов мигрантов

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

NIS: Северная Корея взломала южнокорейских производителей оборудования для производства микросхем Северокорейские хакеры, предположительно, совершили взлом систем южнокорейских производителей оборудования для производства микросхем.

Северокорейксие хакеры взломали производителей чипов из Южной Кореи Хакеры из Северной Кореи взломали как минимум два южнокорейских бренда оборудования для производства чипов.

Американские чиновники надеются, что оборудование для производства 7-нм чипов в Китае рано или поздно деградирует И новое китайские производители нигде купить не смогут.

Китайские чиновники всё ещё надеются, что Нидерланды возобновят нормальные поставки литографического оборудования Хотя бы для выполнения старых контрактов.

Peloton заключит эксклюзивное партнерство с TikTok Peloton Interactive заключает партнерство с TikTok для представления своих тренировок на новой платформе. Компания меняет стратегию с производства оборудования на развитие программного обеспечения из-за снижения спроса на фитнес-оборудование после пандемии.

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

Правительство Китая активно поддерживает и субсидирует Huawei, делая из компании основного игрока полупроводникового рынка Ресурс Bloomberg опубликовал большую статью, рассказывающую о том, как правительство Китая различными способами помогает Huawei не только оставаться на плаву под санкциями США, но и активно развиваться.  Фото: TechInsights и Bloomberg reporting К примеру, жур...

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

В Севастопольcком госуниверситете изобрели перспективный нанопорошок Учеными Севастопольского госуниверситета изобретен уникальный и весьма перспективный нанопорошок, который наверняка найдет применение в авиастроительной отрасли, средствах защиты от радиации, а также при производстве передового оборудования и композитных материалов.

Владелец брендов Tecno и Infinix уже на четвёртом месте среди крупнейших производителей смартфонов в мире. Transsion набирает обороты Аналитики Canalys подвели итоги первого квартала на рынке смартфонов. И тут произошли довольно важные изменения.  фото: Tecno В частности, на четвёртое место поднялась компания Transsion, уверенно обойдя Oppo и нарастив свою долю с 6% до 10%. Напомним, компания вл...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Компания «Лазерные системы» займется 3D-печатью деталей двигателей и газовых турбин Санкт-Петербургский разработчик и производитель промышленных 3D-принтеров «Лазерные системы» откроет центр аддитивных технологий на базе собственного производства на площадке «Нойдорф» особой экономической зоны в Стрельне. Предприятие планирует наладить аддитивное производст...

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

Китай нашел свободных от санкций поставщиков оборудования для производства чипов из Южной Кореи. Перспективы отрасли Мы не раз и не два писали о влиянии санкций США на китайские компании из отрасли производства и разработки электроники. Сначала казалось, что санкции действуют, и очень хорошо — у Китая начались сложности почти сразу после введения различных мер со стороны США. Но спустя не...

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Глава Redmi рассказал, что у компании пока нет планов по созданию автомобиля «Redmi» Redmi не будет запускать свой собственный электромобиль пока что, так как приоритетом является производство первого автомобиля Xiaomi

Несмотря на запреты США, Китай нарастил импорт чипового оборудования вдвое Новый доклад Конгресса США показал, что несмотря на запрет экспорта передовых технологий, Китай сумел вдвое нарастить закупки оборудования для производства чипов в 2023 году.

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Запрет США на поставки ускорителей Nvidia открывает огромные возможности для Huawei Меры США по ограничению экспорта передовых чипов с технологиями искусственного интеллекта (ИИ) в Китай могут открыть перед Huawei огромные возможности по импортозамещению на внутреннем рынке, о чем пишет Reuters. В то время как Nvidia исторически была ведущим поставщико...

Правительство США готовит «черный список» китайских производителей чипов США работает над списком китайских заводов, которым запрещено использовать передовые инструменты для производства чипов, поскольку Китай продолжает накапливать их запасы.

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

Tesla отменила планы на создание недорогого электромобиля в пользу роботакси Согласно информации Reuters, компания Tesla отменила планы по созданию долгожданного недорогого автомобиля, на который инвесторы рассчитывали для продвижения в массового производителя автомобилей. Решение было принято после сообщений, полученных Reuters от трех источников, з...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

«Илон Маск, я думал о вас». Глава Intel пригласил миллиардера на экскурсию по заводу компании Компания Intel имеет амбициозный план стать вторым после TSMC крупнейшим производителем полупроводниковой продукции в мире к 2030 году. А для этого ей нужны клиенты. Глава Intel Пэт Гелсингер (Pat Gelsinger), видимо, видит в качестве потенциального клиента компанию Tesl...

В РФ запустят производство оборудования 5G Производством оборудования для внедрения мобильных сетей пятого поколения в России займется дочерняя компания МТС. 

Выход за границы крупногабаритной печати: Eplus3D представляет EP-M2050 с 36 лазерами! Широкоформатная мультилазерная система аддитивного производства металлических деталей EP-M2050 обладает 36 лазерами и задает новые стандарты производительности, универсальности и эффективности. Это оборудование, основанное на передовых технологиях, позволяет изготавливать ра...

Huawei построит новый завод по производству смартфонов и другого оборудования во Франции Несмотря на американские санкции, многие западные страны хотят расширить сотрудничество с Китаем

Летающие такси будут запущены уже в 2024 году на Олимпийских играх Давняя мечта «детей 80-х» о летающих такси может стать реальностью уже в следующем году: в 2024 и 2025 годах в крупных городах всего мира будут запущены первые авиатакси. Это произойдет после шквала разработок в 2023 году, когда несколько компаний представили конкретные план...

В России освоили производство сварочных аппаратов необходимых для строительства ледоколов В Крыму создали уникальное оборудование для сварочных швов, способных выдержать экстремальные морозы -40°С и даже ниже.

ТПУ открыл инжиниринговый центр комплексного развития энергетических систем В Передовой инженерной школе «Интеллектуальные энергетические системы» Томского политехнического университета открылся инжиниринговый центр комплексного развития энергетических систем и технологий распределенной энергетики. Для центра уже приобретено оборудование — комплект ...

Нестинг в 3D-печати     3D-печать является одной из самых быстро развивающихся технологий в сфере производства. Регулярно публикуются статьи о новых методах и технологиях печати, которые позволяют внедрять аддитивное производство во всё новые сферы. Кроме того 3D-печать становится более доступн...

Власти Нидерландов выделят ASML $ 2,5 млрд Компания ASML Holding NV рассматривает варианты расширения своего присутствия в Нидерландах после того, как правительство выделило € 2.5 миллиарда на инфраструктурные и образовательные расходы в регионе, где находится штаб-квартира компании.

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Президент Южной Кореи посетил передовое предприятие ASML в Нидерландах В Южной Корее появится исследовательский центр ASML.

Оборудование Intel и Lenovo с 5-летней уязвимостью чинить не собираются В серверном оборудовании таких крупных производителей, как Intel, Lenovo и Supermicro, обнаружена критическая уязвимость. Исследователи из компании Binarly обнаружили, что проблема кроется в контроллерах управления базовой платой (BMC) — крошечных компьютерах, встроенных в м...

Компания ISPsystem подтвердила совместимость DCImanager с серверами «Сила» В мае 2024 года ISPsystem («Группа Астра») и российский производитель корпоративного ИТ-оборудования «Сила» подтвердили, что работа платформы управления мультивендорной ИТ-инфраструктурой DCImanager полностью соответствует требованиям и стандартам серверного оборудования «Си...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Дженсен Хуанг считает, что каждая страна нуждается в суверенном ИИ Хуанг подчеркнул важность суверенного ИИ, который позволяет стране владеть своими данными и интеллектом

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

ЮАР вновь сыграет ключевую роль в расширении БРИКС 34 страны выразили заинтересованность в присоединении к блоку крупных развивающихся экономик

Власти Нидерландов не стали отвечать на вопрос о влиянии США на поставки оборудования ASML в Китай Отзыв уже выданной лицензии произошёл по не совсем очевидным причинам.

Apple отменила Watch Ultra 3 с дисплеем microLED Слухи о том, что умные часы Apple Watch Ultra получат улучшенный дисплей microLED, ходят уже более года, и инсайдеры предполагали, что производитель представит улучшенную модель уже в текущем году, после чего появилась информация о том, что различного рода проблемы с произво...

Китай и «эффективность» санкций США: голландский импорт литографии вырос в десять раз Удивительным образом Китай обходит американские ограничения на оборудование для производства микросхем, обращаясь к Нидерландам, которые в ноябре в десять раз увеличили импорт систем литографии. На общую сумму 762,7 миллиона долларов Китай ввез 16 единиц передового оборудова...

Китай собрался выпускать 1600-ядерные чипы размером с целую пластину Нарезать отдельные чипы из пластин больше не потребуется

Оборудование подключенное к IX по IPv6 Когда у вас есть подключение с кем-либо где видно MAC адреса, это позволяет, с высокой долей достоверности, узнать производителя оборудования используемого вашим партнёром. А когда это общая коммутационная среда, как на точках обмена трафика, то производителя можно узнать у ...

Аэрокосмический гигант Lockheed Martin намерен приобрести Terran Orbital Компания Lockheed Martin, один из мировых лидеров в области аэрокосмической и оборонной отрасли, выступила с предложением о покупке Terran Orbital, ведущего производителя космических аппаратов. В письме, поданном в Комиссию по ценным бумагам и биржам (SEC) 1 марта, Lock...

Samsung собирается массово производить микросхемы оперативной памяти LPDDR5T в 2024 году Samsung является крупнейшим в мире производителем памяти DRAM, однако в последнее время компания SK Hynix привлекает к себе повышенное внимание

Интервью с Валентином Бартеневым: как бывшие сотрудники Nginx разрабатывают отечественный веб-сервер Angie Уже больше года в информационном пространстве появляется компания «Веб-Сервер», разрабатывающая отечественный открытый веб-сервер Angie и его коммерческую версию Angie PRO. Информационная служба Хабра пообщалась с руководителем отдела разработки «Веб-Сервера» Валентином Барт...

Китай начал проверку крупнейшего завода по сборке iPhone Китайские власти начали масштабное расследование в отношении Foxconn, крупнейшего в мире производителя iPhone и ключевого партнёра Apple.

Рыночная капитализация Nvidia уже больше, чем ВВП России Стремительный рост Nvidia сделал ей не только третьей по величине компанией в США по рыночной капитализации, но и крупнее, чем экономика большинства других стран по валовому внутреннему продукту. В пятницу акции производителя чипов закрылись на отметке $788,17, что соот...

NVIDIA готовит релиз видеокарт на 3 нм уже в 2024 году Можно с уверенностью сказать, что на текущий момент компания NVIDIA является ведущим производителем графических ускорителей, используемых для технологий на базе искусственного интеллекта. И останавливаться на достигнутом производитель не собирается, так как уже в следующем г...

Оптовая Перевозка Радиокомпонентов: Путь из Гонконга в СНГ В непрерывной пульсирующей жизни высокотехнологичного мира, технические чудеса, интегрирующие в себе сложнейшие электронные компоненты, прокладывают путь к инновационным решениям в мире электроники. Именно поэтому, доставка микросхем из Гонконга выделяется сегодня как ключев...

«Ростсельмаша» запустил первый за полвека завод полного цикла по производству тракторов Компания "Ростсельмаш" открыла первый за полвека в России завод по производству тракторов полного цикла, что является значительным шагом в обеспечении страны современной и надежной сельхозтехникой.

США потребовали от компании ASML Holding NV отменить отправку своего оборудования в Китай Администрация Байдена стремится изолировать Пекин от передовых технологий

В СПбПУ создали передовую технологию производства филамента Изображение: нейросеть DALL-E В лаборатории по полимерным композитам СПбПУ впервые в стране разработали передовую технологию выпуска филамента — это материал для выполнения 3D-печати, в основе которого лежат непрерывные углеродные волокна и термопласты.

Китайская CXMT представила первую в стране импортозамещенную память LPDDR5 CXMT представила в Китае память LPDDR5 с низким энергопотреблением, что является достижением в области производства микросхем, учитывая наложенные на страну ограничения. Эти модули DRAM, обладающие скоростью передачи данных 6400 МТ/с, предназначены для доступных смартфонов ...

Япония ужесточит контроль экспорта полупроводников, Китай грозит принять ответные меры Правительство Японии заявило о планах ужесточить контроль за экспортом полупроводников, оборудования и передовых материалов. Перед экспортом оборудования и материалов, которые могут быть использованы в военных целях, компании должны уведомлять регулятора. Китай уже выра...

Китай отправляет специализированное оборудование для разминирования в Шри-Ланку В рамках международной помощи Китай предоставил вооруженным силам Шри-Ланки передовое оборудование для разминирования и обезвреживания боеприпасов, включая роботов REOD 4000, противовзрывные одеяла и резервуары с защитой от взрыва. Это событие символизирует углубление страте...

Китайские EV-компании вышли на рынок Индонезии для борьбы с японскими конкурентами Один из крупнейших производителей электромобилей (EV), китайская компания BYD и более известная на внутреннем рынке Поднебесной Neta Auto, объявили о планах строительства завода в Индонезии. Главная цель китайских вендоров — обострение конкуренции с японскими брендами, в осн...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Chery может начать масштабное производство в Италии: речь о сотнях тысяч машин Итальянское правительство ведет переговоры с китайским автопроизводителем Chery Auto о возможности организации производства на территории страны. Целью является увеличение национального выпуска автомобилей до 1,3 млн единиц в год с текущих 800 тысяч. Министр промышленно...

Нидерландская Yandex N.V. продаёт бизнес Яндекса за 475 млрд рублей группе частных инвесторов Яндекс выпустил официальный пресс-релиз, посвящённый смене владельца. Ранее об этом стало известно из Единого государственного реестра юридических лиц (ЕГРЮЛ), а теперь компания подтвердила сведения о смене юрлица и раскрыла некоторые подробности. Сообщается, что нидерл...

Microsoft и OpenAI строят дата-центр за 100 миллиардов долларов: "Звездные врата" ИИ. Захватывающие планы Microsoft и OpenAI по созданию крупнейшего в США дата-центра стоимостью до 100 миллиардов долларов, который станет домом для суперкомпьютера на основе передовых ИИ-чипов.

Производство Skoda Octavia, Kodiaq, Karoq и Kamiq в Казахстане вот-вот начнётся. Изначально машины будут поставлять только на внутренний рынок Сборка автомобилей Skoda на заводе «Сарыаркаавтопром» компании Allur в Костанае скоро начнётся, в данный момент завершается подготовка к производству, о чем пишет «Автопоток». Неделю назад стало известно, что Skoda и завод Allur подписали соглаше...

Нидерланды начали расследовать работу такси Яндекса из-за российского закона Управления по защите данных Нидерландов начало расследование в отношении компании Yango — бренда такси Яндекса, управляемого головным офисом Yandex N.V. Расследование начато на предмет нарушения европейских законов о защите данных из-за нового российского закона, под действи...

На Ставрополье создадут центр по производству беспилотников Владимир Владимиров, губернатор Ставропольского края, сообщил о планах создания научно-производственного центра по производству беспилотных летательных аппаратов. Он подчеркнул, что такой шаг был предпринят в ответ на запросы с передовой и потребности региона.

Китай вкладывает миллиарды долларов в производство передовых чипов памяти Огромные государственные средства направляются на помощь производителям DRAM CXMT и флэш-памяти NAND YMTC с целью противодействия американским санкциям

GlobalFoundries ухудшила свои прогнозы: дешевые микросхемы теряют популярность GlobalFoundries, один из крупнейших контрактных производителей микросхем, понизил свой прогноз на первый квартал 2024 года, ссылаясь на две ключевые проблемы.

Как айтишники стройку революционизировали Ещё в доковидные времена я работал в IT-дочке одной крупной строительной компании. Разрабатывали экспериментальную систему для управления отделкой многоквартирных домов.Собрали сильную команду, которая проработала почти 2 года. Оказалось, что у владельцев головной компании с...

В России планируют развивать бизнес по переработке шин Холдинг «Экто» собирается инвестировать в сферу переработки шин,  в ходе который их превращают в резиновую крошку. Структура, принадлежащая сыну основного акционера ЛУКОЙЛа, Юсуфу Алекперову, может расширить данное направление на регионы России, о ...

Крупные технологические компании видят огромный потенциал в странах Сингапур и Малайзия По мнению экспертов, данные регионы в основном нейтральны к различным международным конфликтам, что является большим плюсом для инвестиций

Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов ИИ Samsung получила заказ на 2 нм пластины от японского стартапа по производству чипов искусственного интеллекта

АвтоВАЗ расширит производство Lada в другой стране АвтоВАЗ нашел новую базу для расширения производства автомобилей Lada, о чем сообщает РИА «Новости». Компания из Ганы, U2 company limited, получила лицензию на продажу автомобилей LADA и в настоящее время активно ведет переговоры с представителями АвтоВАЗа&n...

TV BRICS: Иран входит в десятку крупнейших производителей стали в мире По данным World Steel Association, страна занимает 9-е место в рейтинге

Планы по перерегистрации акционеров компании "Тинькофф": переезд из Кипра в Россию Консолидированный финансовый рынок постоянно находится в движении. Недавние шаги акционеров TCS Group Holding Plc, владеющих финансовыми флагманами, такими как Тинькофф банк и «Тинькофф страхование», вызвали у многих широкий интерес.

Планы по перерегистрация акционеров компании "Тинькофф": переезд из Кипра в Россию Консолидированный финансовый рынок постоянно находится в движении. Недавние шаги акционеров TCS Group Holding Plc, владеющих финансовыми флагманами, такими как Тинькофф банк и «Тинькофф страхование», вызвали у многих широкий интерес.

High Eye выигрывает крупный тендер Министерства обороны Нидерландов Компания High Eye выиграла международный тендер Министерства обороны Нидерландов, заключив соглашение о поставке инновационной беспилотной авиационной системы Airboxer. Этот шаг открывает новые возможности для использования БПЛА в оборонительных стратегиях страны.

В России планируют освоить производство 28-нм процессоров к 2027 году Такие чипы выпускались крупнейшими производителями еще в 2009–2010 годах

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

ВСУ обнаружили в российских "Геранях" двигатели производства своего союзника из Европы – Чехии Не имей сто друзей, а имей "сто рублей" на покупку двигателя для своих передовых разработок у стран Запада

Инновационные FDM 3D-принтеры Bambu Lab уже в iGo3D Russia! Инновации в мире 3D-печати продолжают развиваться, и Bambu Lab, на данный момент, является одним из лидеров этой индустрии. Оборудование Bambu Lab известно своими выдающимися разработками в области 3D-печати, и их принтеры, в настоящее время, признаны одними из наиболее пере...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Compal переносит производство из Китая в Европу и другие страны Тайваньский производитель электроники Compal ищет пути выхода на европейский рынок.

Арктический НОЦ создает детали для целлюлозно-бумажной промышленности Научно-образовательный центр «Российская Арктика» на базе Северного (Арктического) федерального университета изучает способы аддитивного производства сложных комплектующих оборудования для агрессивных химических сред. Центр сотрудничает с предприятиями целлюлозно-бумажной пр...

Apple выпустит складной iPad или iPhone, который в конечном итоге может заменить iPad Mini. Компания также работает над 20,5-дюймовым складным устройством Apple рассматривает возможность выпуска своего первого складного устройства в ближайшие несколько лет в виде 7-8-дюймового устройства, которое в конечном итоге может заменить 8,3-дюймовый iPad mini. Как сообщает южнокорейское издание The Elec, Apple рассматривает сроки ...

Власти Нидерландов обеспокоены тем, что ASML пожелает перенести штаб-квартиру в другую страну А если не будут брать, то отключим газ!

«К сожалению, некомплект коснулся и компанию Lada Sport», — у машин не хватает задних фонарей и бамперов Проблема нехватки комплектующих затронула не только АвтоВАЗ, но и компанию Lada Sport, которая является дочерним предприятием АвтоВАЗа, о чем сообщает инсайдерский паблик Avtograd News. К сожалению, некомплект коснулся и компанию Lada Sport. Исходя из плана на поставку ...

Microsoft разрабатывает собственную сетевую карту для работы с искусственным интеллектом Этот проект будет способствовать достижению цели Microsoft по уменьшению зависимости от оборудования компании Nvidia

В России начали массово производить серверное оборудование В Рязанской области открылся завод по производству оборудования для серверов

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

realme 11 представят в России 10 октября Бренд realme объявил о предстоящем выпуске своего нового устройства — realme 11. Он будет доступен для российских потребителей уже 10 октября. Новый смартфон лучше своего предшественника сразу в трех областях: камера, зарядка и производительность. Одним из ключевых обновле...

США будут склонять Нидерланды к запрету на обслуживание китайских производителей чипов Расширение санкций идёт по обкатанному сценарию.

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Бывший завод Bridgestone перезапустят: обещают новый рисунок протектора и ребрендинг На встрече губернатора Ульяновской области Алексея Русских с руководством компании S8 Capital было объявлено о планах по перезапуску завода по производству шин в индустриальном парке «Заволжье» в 2024 году. Этот завод ранее принадлежал компании Bridgestone. ...

Компания ASML начала поставки ключевых компонентов новых микрочиповых машин для Intel Оборудование нового поколения обойдётся компании в 250 миллионов евро

«Москвич» празднует свой первый день рождения. Завод планирует начать поставки машин в другие страны Возрожденный завод «Москвич» празднует свой первый день рождения. Производство городского кроссовера «Москвич 3» стартовало ровно год назад. О достижениях за этот год и о планах на будущее рассказал Олег Масляков, директор по производству автозав...

Пластины большего размера в модулях Astronergy ASTRO N7 удешевят солнечные электростанции Компания Astronergy, первопроходец в области производства фотоэлектрических модулей TOPCon n-типа, объявила о пополнении серии модулей ASTRO N7 TOPCon новыми линейками изделий на 66 прямоугольных ячейках, которые готовы к запуску в серийное производство и предлагают клиентам...

Китай наносит ответный удар: Пекин ограничивает экспорт графита — основного материала для аккумуляторов Китай ограничивает экспорт некоторых графитовых продуктов для защиты национальной безопасности, о чем заявило Министерство торговли страны. Китай является крупнейшим в мире производителем и экспортером графита, а также перерабатывает более 90% мирового графита в материа...

США просят правительство Нидерландов запретить ASML обслуживать технику на предприятиях в Китае США не хотят, чтобы Китай производил передовые чипы и использовал их, особенно в военной сфере. В этом контексте к препятствиям США в ASML очень скоро может добавиться новое.

«Отучаем» WinFXNet от жадности (часть 1) ПредысторияВ сфере АСУ ТП инженерам по работе приходится не только писать ПО, но и использовать готовое ПО от производителей оборудования. В связи с санкциями, многие поставщики покинули РФ, а оборудование и ПО необходимо продолжать эксплуатировать дальше.В данной статье буд...

Выставки Rosmould & 3D-TECH и Rosplast 2024 пройдут 18-20 июня Продукцию представят отечественные и иностранных производители пресс-форм и штампов, поставщики оборудования и материалов для производства полимерных изделий, в том числе методами 3D-печати. В деловую программу выставок войдут выступления ведущих отраслевых экспертов, готовы...

Зачем программисту микроконтроллеров комплексные числа (или обзор MEMS микрофона MP23DB01HPTR) На 12ом году работы программистом-микроконтроллеров мне наконец-то пригодились комплексные числа из школьной математики. Где они тут нужны? Пояснение в тексте.Есть много организаций, которые так или иначе разрабатывают и производят оборудование со звукоизлучателями. Очевидно...

Сбербанк уже выпускает собственные серверы Сбербанк начал заниматься разработкой и производством собственных серверов, о чем сообщили «Ведомостям» три источника из компаний-производителей вычислительной техники, а также подтвердил представитель банка. Это оборудование используется для внутренних целе...

Производитель сигарет JTI решил продолжить бизнес в России Один из крупнейших в мире производителей сигарет японская компания Japan Tobacco International приняла решение продолжить свой бизнес в России.

Один из главных производителей майнинг-оборудования перестал платить рабочим Bitmain, один из крупнейших производителей интегральных схем для майнинга, по сообщениям, приостановила выплату заработной платы своим сотрудникам с сентября. Это решение было принято на фоне проблем, связанных с состоянием рынка криптовалют.

От роботов-пылесосов к топовым внедорожникам: в России официально появятся машины Rox Motor Молодая и амбициозная компания Rox Motor, основанная в 2021 году генеральным директором известного производителя роботов-пылесосов Roborock, готовится к официальному выходу на российский рынок. Новость о планах компании была озвучена на международном автосалоне в Пекине...

Связь между Mercedes-Benz и Китаем уже слишком сильна: глава компании призывает Европу снизить цены на электромобили из Поднебесной Глава Mercedes-Benz призвал Брюссель снизить тарифы на электромобили, импортируемые из Китая, в то время как Европейская комиссия рассматривает возможность повышения импортных пошлин. Усиление конкуренции со стороны Китая поможет европейским автопроизводителям производи...

Huasun представил гетеропереходные солнечные модули 0BB с технологией Zero Busbar   Компания Huasun Energy, крупнейший в мире производитель продукции для гетеропереходов (HJT), представила свою последнюю инновацию в области высокоэффективных модулей с инновационной технологией Zero Busbar (0BB). Это передовое усовершенствование было легко интегрирова...

NASA мелочиться не любит: лунная миссия потребует 15 пусков крупнейшей ракеты SpaceX NASA сообщило, что Starship компании SpaceX, который будет помогать в посадке на Луну корабля Artemis III, потребует беспрецедентных 15 запусков. Система Starship, являющаяся ключевым компонентом миссии, требует такого ошеломляющего количества запусков для заправки топливом ...

Компания Guide Sensmart продемонстрировала новинки на British Shooting Show Выставка оружия и аксессуаров British Shooting Show является одним из важнейших событий для любителей спортивной стрельбы, производителей и розничных продавцов. Уже 17-й год размер и влияние этой выставки продолжают увеличиваться, и она привлекает посетителей из всех частей ...

Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 2024 году Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 30 миллионов пластин в месяц в 2024 году

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Кейс: использование 3D-принтера Volgobot А4 PRO в НПК «ГЕРМЕТИКА» Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов — НПК «ГЕРМЕТИКА».Давайте познакомимся с деятельностью предприятия ближе.НПК «ГЕРМЕТИКА» — машиностроительная научно-производстве...

Речь натовского адмирала привела к дефициту наборов для экстренной помощи в Нидерландах Председатель военного комитета НАТО, считает, что гражданским лицам на Западе следует готовиться к «тотальной войне» с Россией. Жители Нидерландов тут же кинулись в магазины.

Лидер ультраправых Герт Вилдерс набирает наибольшее количество голосов на выборах в Нидерландах Герт Вилдерс побеждает на выборах в Нидерландах, обещает сократить иммиграцию, сталкивается с проблемами коалиции. Миграция, жилье и окружающая среда - ключевые вопросы кампании.

Японский производитель электроники Fujitsu официально ушёл из России Японская компания-производитель серверного оборудования ушла из России

У крупнейшего производителя оборудования для майнинга Bitmain нет денег на выплату зарплат сотрудникам Bitmain, один из крупнейших в мире производителей ASIC-майнеров для добычи биткойна и других криптовалют, с сентября приостановил выплату заработной платы сотрудникам, о чем сообщило китайское новостное агентство Leiphone. Bitmain также сократила все бонусы для своих со...

Китайские хакеры проникли в сеть производителя чипов NXP из Нидерландов NCR сообщило, что группа хакеров, связанная с Китаем, осуществляла кибератаки на NXP, производителя чипов из Нидерландов, в период с конца 2017 года до начала 2020 года

Hyundai, Kia и Gore создают ключевые материалы для водородных топливных элементов Hyundai Motor и Kia недавно подписали соглашение с WL Gore & Associates (Gore) в экологически чистом научно-исследовательском центре Мабук в Южной Корее о совместной разработке водородных топливных элементов. Это сотрудничество будет охватывать все ключевые области ...

АвтоВАЗ разрабатывает Lada размером с Toyota Camry, и она получит беспилотные технологии Глава АвтоВАЗа Максим Соколов сообщил о том, что завод работает над внедрением беспилотных технологий в автомобили Lada, причем это буду модели покрупнее Lada Vesta — классов C (длиной около 4,5 метра как Toyota Corolla) и даже D (длиной около 4,8 м, как Toyota Ca...

Нидерланды борются с нехваткой антибиотиков для детей В Нидерландах уже долгие годы наблюдается нехватка антибиотиков для младенцев и детей.

Российские операторы получат отечественные аккумуляторы для телекоммуникационного оборудования Холдинг «Росэлектроника» госкорпорации Ростех объявил о начале поставок промышленных кислотно-свинцовых аккумуляторов крупнейшим российским операторам связи. Такие батареи применяются в составе источников резервного питания, например, на автоматизированных т...

Нидерланды хотят, чтобы другие страны Евросоюза больше участвовали в санкциях против Китая Принцип коллективной ответственности может затруднить многие процессы.

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

В Москве появился новый завод медицинского оборудования Заместитель мэра Москвы по вопросам экономической политики и имущественно-земельных отношений Владимир Ефимов сообщил, что компания «Элта», которая является резидентом особой экономической зоны (ОЭЗ) «Технополис Москва», завершила строительство нового завода на производство ...

[Перевод] Футуристический план производства стали с помощью ядерного синтеза Крупнейшая американская сталелитейная компания делает ставку на то, что ядерный синтез поможет ей избавиться от выбросов углекислого газа и обеспечить энергией один из самых энергоёмких производственных процессов в мире.В рамках первого в своём роде партнёрства между крупной...

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Цели и преимущества создания сообщества «Цели и преимущества создания сообщества» (06/03/2024 13:00 MSK) — Зачем нужно сообщество — Какие преимущества — Какие недостатки — Ключевые моменты — Топ-5 ошибок при создании сообщества Кому будет полезен? Доклад будет полезен тем, кто ищет новые способы привлеч...

Россия достигла пика своих возможностей в производстве стали в 2023 году Всемирная ассоциация производителей стали, собирающая данные по 71 стране мира, опубликовала пресс-релиз результатов 2023 года.

VT4 - китайский основной боевой танк создали специально для экспорта в развивающиеся страны Танк имеет низкую посадку, что является одной из ключевых его особенностей и имеет систему защиты от оружия массового поражения.

США запретили ввозить и продавать часть ноутбуков в Китае США еще больше ограничивают доступ Китая к передовым ИИ-ускорителям и инструментам, необходимым для их производства. Пересмотренные правила основываются на ограничениях, введенных в октябре прошлого года, и занимают 166 страниц. Так, теперь они четко ограничивают экспорт ноу...

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Как исследование GlowByte помогает «Пятёрочке» анализировать персональные коммуникации на рынке Food Retail В современном мире, где конкуренция в сфере ретейла продолжает набирать обороты, держать руку на пульсе становится все более актуальным для каждой успешной корпорации. Борьба за потребителя никогда не была такой интенсивной, и в этой борьбе большое значение приобретает транс...

Китай обнародовал план массового производства человекоподобных роботов Согласно плану, опубликованному Министерством промышленности и информационных технологий (MIIT) Китая, эта страна готовится реализовать амбициозный проект массового производства человекообразных роботов в течение двух лет.

Nvidia укрепит связи с Вьетнамом и поддержит развитие искусственного интеллекта Исполнительный директор американского производителя чипов Nvidia заявил, что компания расширит свое партнерство с ведущими технологическими фирмами Вьетнама и поддержит страну в обучении специалистов. Nvidia, которая уже инвестировала во Вьетнам 250 миллионов долларов, ...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

Первые смарт-часы разрабатывали ещё в 1985 году в СССР Наша страна умеет строить не только самые лучшие танки и самолёты. Именно в наше стране были разработаны первые "умные" часы

Bloomberg: ЕС планирует вслед за США оценить риски использования китайских микросхем Евросоюз, следуя примеру США, намерен пересмотреть риски, связанные с использованием китайских микросхем в ключевых отраслях экономики. Согласно проекту рабочего заявления, Брюссель рассматривает возможность проведения расследования в отношении применения полупроводнико...

Panasonic запустит производство модернизированных аккумуляторов для электромобилей Tesla в 2024 году Panasonic, один из крупнейших производителей батарей в мире, планирует начать производство улучшенной версии своих 2170 батарей для электромобилей Tesla в 2024 году.

Дания запустила крупнейший тендер на строительство ветряных ферм Датское энергетическое агентство в понедельник объявило о запуске своего крупнейшего тендера на строительство ветряных ферм, целью которого является производство шести гигаватт к 2030 году — более чем вдвое превышающего текущую мощность Дании.

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

НАМИ разрабатывает газотурбинный двигатель для российских автомобилей Генеральный директор Государственного научного центра РФ ФГУП «НАМИ» Федор Назаров дал интервью изданию «Федеральный Бизнес Журнал», в котором рассказал о перспективных разработках предприятия. Изображение сгенерировано Midjourney Оказывается, Н...

ASML хочет расширить производство за пределами Нидерландов Такой ход событий не устраивает правительство страны, которое хочет оставить производство на своей территории, а значит и налоговые отчисления

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Northrop Grumman разработала тяжелый подводный дрон Manta Ray Один из крупнейших подрядчиков Пентагона, военно-промышленная компания Northrop Grumman известна в мире, как производитель ракетного вооружения, систем ПВО, средств электроники, кораблей и авиации. Еще одним направлением ее деятельность уже скоро может стать производство роб...

Как GlowByte помогает «Пятёрочке» анализировать персональные коммуникации на рынке В современном мире, где конкуренция в сфере ретейла продолжает набирать обороты, держать руку на пульсе становится все более актуальным для каждой успешной корпорации. Борьба за потребителя никогда не была такой интенсивной, и в этой борьбе большое значение приобретает транс...

В США подали иск на сервис Apple CarPlay Министерство юстиции США обратило своё внимание на информационно-развлекательную систему компании Apple под названием CarPlay, утверждая, что она используется производителем для блокирования конкуренции на рынке. В иске говорится, что следующая версия CarPlay получит доступ ...

Производитель российских ABS получит 10 млн отечественных резисторов для «для санкционно-стойких автомобильных блоков» Компания «Итэлма», запустившая летом в Костроме производство блоков ABS для автомобилей Lada, заключила партнерство с предприятием «Ресурс»: оно поставит «Итэлме» 10 миллионов резисторов уже в текущем году. Эти компоненты будут и...

Действительно гигантская испарительная камера и действительно очень яркий экран. OnePlus 12 представили вчера, а уже сегодня разобрали OnePlus 12 представили только вчера, а уже сейчас мы можем посмотреть на видео с его разборкой. Заодно оценить реальные размеры испарительной камеры, которую компания активно рекламировала.  Как можно видеть, испарительная камера действительно очень крупная и зани...

В Тверской области начнут производить канатные дороги В ходе встречи с президентом России Игорь Руденя, губернатор Тверской области, раскрыл планы по созданию инновационного производства в рамках особой экономической зоны «Эммаусс». Новое предприятие будет специализироваться на производстве канатных дорог и их компонентов, заме...

Деталь рулевого механизма для Lada Iskra показали со всех сторон Тольяттинская компания «Рулевые системы», известный поставщик АвтоВАЗа, недавно запатентовала картер для нового рулевого механизма. Эта деталь предназначена для перспективной модели Lada Iskra (внутризаводские индексы LJO/BJO), которая должна прийти на смену...

«Автомобиль совершенно другого типа, который должен изменить жизни людей». Kia и Rimac готовят необычное роботакси Rimac, хорватская автомобильная компания, ставшая известной благодаря своему гиперкару Nevera, собирается выйти на новую территорию. Автопроизводитель, известный своими передовыми электромобилями и являющийся подразделением материнской компанией Bugatti, представил ...

«Москвич» выпустит собственный электромобиль Директор по производству автозавода «Москвич» Олег Масляков подтвердил, что компания активно работает над производством собственного электромобиля, целью остается представить его к 2025 году. Он подчеркнул, что ключевые компоненты, включая электродвигатель и тяговую батарею,...

Раскрыты проблемы большинства компаний во внедрении генеративного ИИ Гендиректор Accenture Джули Свит (Julie Sweet) откровенно рассказала о том, что большинство компаний не готовы к широкому внедрению генеративного ИИ. Несмотря на ажиотаж вокруг этой передовой технологии, многие предприятия не имеют надежной инфраструктуры данных и необходимы...

Небольшой конкурент Samsung по чипам памяти SK Hynix станет победителем в области искусственного интеллекта — Bloomberg Акции Samsung Electronics растут не так быстро, как акции её конкурента по производству чипов памяти SK Hynix. Как пишет Bloomberg, инвесторы делают ставку на то, что именно последняя станет победителем в области искусственного интеллекта. Акции SK Hynix выросли на 67% ...

Обзор на металлические 3D принтеры Farsoon В этой статье знакомимся с линейкой металлических 3D принтеров Farsoon.А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подробности о розыгрыше в посте в Telegram! А еще мы запустили творческий конкурс на лучшую напечатан...

Компания «О3-Коутингс» поставляет материалы для ключевых инфраструктурных проектов в РФ В 2024 году исполняется 15 лет компании «О3-Коутингс» — разработчику и производителю защитных лакокрасочных материалов для строительства и безопасной эксплуатации индустриальных и инфраструктурных объектов. За эти годы компания прошла путь от дистрибьюторского бизнеса до соб...

Хорошо, а могло быть ещё лучше: TSMC продолжает развиваться, но в США у компании проблемы с заводом. Что случилось? TSMC — крупнейший контрактный производитель чипов в мире. От этой единственной корпорации может зависеть благополучие глобальной отрасли производства и разработки электроники. Но и у такого гиганта бывают проблемы — например, сейчас стало известно о задержке строительства за...

Планы Samsung и ASML на $760 млн: превращение Южной Кореи в «полупроводниковую сверхдержаву» Samsung и ASML объединяют свои усилия в рамках инвестиций в размере 760 миллионов долларов в строительство передового завода по производству чипов в Южной Корее. Стратегический шаг, о котором было объявлено во время визита президента Южной Кореи Юн Сук Ёля в Нидерланды, напр...

Max Space объявила о планах запуска самого крупного в истории модуля МКС Стартап Max Space обнародовал планы по разработке надувных модулей, которые, по мнению компании, можно сделать больше и дешевле, чем альтернативы, для расширения коммерческих космических станций и других применений. Max Space разрабатывает серию расширяемых модулей, пер...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Кейс: Использование печати на Volgobot A4 PRO для Волгоградского завода медицинского оборудования Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать об одном из наших последних клиентов – Волгоградском заводе медицинского оборудования.Давайте познакомимся с деятельностью завода ближе.ВЗМО – производственная ...

Крупнейший завод по переработке пластика в России открыли в Подмосковье В Московской области состоялось официальное открытие крупнейшего в России завода по переработке пластика «ЭкоЛайн-Вторпласт» и завода по производству готовых изделий из вторичной гранулы «ЭкоПласт» группы «ЭкоЛайн». Об этом сообщается...

«Вопрос защиты национальной безопасности важнее краткосрочной прибыли», — не все американские производители микросхем довольны санкциями, по словам Джины Раймондо. Министр торговли США Джина Раймондо признала, что не все американские производители микросхем довольны санкциями против Китая, поскольку ограничения приводят к потере прибыли. «Я знаю, что в зале сидят главы компаний по производству микросхем, которых несколько ра...

Colorful представила видеокарты со скрытым разъёмом питания Сегодня компания Colorful расширила свою линейку видеокарт iGame Ultra Z, которая предоставляет возможность достаточно аккуратно расположить кабели питания внутри системы. Стоит напомнить, что несколько месяцев назад компания уже запустила в продажу свои передовые видеокарты...

Космическая компания Rocket Lab планирует конкурировать со SpaceX Starlink и развивать собственную спутниковую группировку Компания Rocket Lab, изучая потенциальные варианты использования своей спутниковой группировки, объявила о намерении самостоятельно развивать бизнес, аналогичный Starlink, для обеспечения стабильного дохода. Об этом заявил один из руководителей компании на минувшей неде...

CGTN:Как Китай намерен повышать уровень финансовой открытости после ключевого совещания   В Пекине прошло ключевое финансовое совещание, на котором был намечен курс финансового развития Китая. Центральное совещание по вопросам финансовой политики, проводимое дважды в десятилетие, является финансовой встречей высшего уровня в Китае и определяет курс финансо...

Из-за торговых ограничений США крупные компании переносят производство чипов из Азии Компании Amazon, Google, Microsoft и другие рассматривают Мексику в качестве нового центра производства серверов для искусственного интеллекта.

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia Глава Nvidia Дженсен Хуанг (Jensen Huang) считает, что США ещё далеко до независимости на рынке полупроводникового производства.  По словам Хуанга, должно пройти ещё 10-20 лет до того момента, как США перестанут быть зависимы от тайванских и китайских производител...

Tesla отказывается от планов по внедрению технологии "гигакастинга" на своих заводах Tesla, крупный производитель электромобилей, отказался от амбициозных планов по внедрению инновационной технологии "гигакастинга" на своих заводах. Это решение связано с необходимостью сокращать расходы на фоне падения спроса и усиления конкуренции на рынке.

АвтоВАЗ увеличит выпуск автомобилей до 500 тысяч штук Президент АвтоВАЗа, Максим Соколов, объявил о планах компании увеличить производство автомобилей до 500 тысяч в следующем году. На итоговом заседании ООО "СоюзМаш России" в Челябинске он подчеркнул, что текущий план компании на 2023 год составляет около 400 тысяч автомобилей...

На Украине арестовали хакеров-вымогателей, атаковавших 71 страну мира Европол объявил о ликвидации на территории Украины крупной группировки хакеров-вымогателей, жертвами которой стали компании из 71 страны

Передовые сканеры High-NA EUV компании ASML начал получать её второй крупный клиент Первым была корпорация Intel.

Баланс сотрудников между работой и личной жизнью — как оценить через опросы? Научные исследования подтверждают, что удовлетворённость жизнью сотрудников напрямую связана с их производительностью и вовлечённостью в работу. Поэтому создание условий для гармоничного сочетания профессиональной деятельности и личных интересов является не просто желательно...

Один из богатейших людей планеты Джефф Безос продал акции Amazon на $2 млрд Джефф Безос, основатель Amazon, продал акции своей компании на сумму около $2 миллиардов, сообщает журнал Forbes. Продажа состоялась 7 и 8 февраля, когда Безос реализовал примерно 12 миллионов акций. Впервые более чем два года один из самых богатых людей мира решил расп...

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

Российская компания начнёт производить базовые станции 2G/4G в апреле Российский производитель телекоммуникационного оборудования «Булат» (дочерняя компания Ростелекома) планирует с апреля текущего года начать среднее и мелкое производство отечественных базовых станций стандартов 2G/4G. Об этом сообщил гендиректор компании Але...

Hyundai будет перерабатывать навоз и пластиковые отходы в водород Компания Hyundai заявила, что водород будет играть «решающую роль в дорожной карте устойчивого развития Hyundai, поскольку он является экологически чистым источником энергии». Компания предполагает, что водород будет приводить в действие не только грузовики,...

Китай закупает передовые чипы Nvidia в обход санкций США Несмотря на расширенные ограничения США на экспорт передовых чипов Nvidia с технологиями ИИ в Китай, десяти китайским компаниям, связанным с правительством, удалось закупить эти чипы, встроенные в серверные продукты, у таких известных поставщиков, как Super Micro Comput...

Huawei закупала импортную память в обход санкций из-за неудовлетворительного качества китайской памяти Как сообщает DigiTimes, Huawei нуждается в импортной памяти, поскольку продукция китайских производителей еще не достигла необходимого уровня. Именно чипы памяти корейской компании SK hynix в последних смартфонах серии Huawei Mate 60 вызвали вопросу у американских регул...

Эксперты считают, что переход на новые стандарты памяти будет доступен лишь после 2030 года Одной из ключевых преград, является дороговизна производства комплектующих нового типа

WSJ: антироссийские санкции привели к проблемам у Boeing Потому что компания-производитель важного оборудования свернула работу в России.

Азотные установки: Ключ к Надежной и Эффективной Работе Промышленных Процессов В современной промышленности, где высокотехнологичное оборудование становится неотъемлемой частью производства, азотные установки приобретают ключевое значение. Они обеспечивают поставку и хранение азота, одного из важнейших газов, используемых в различных отраслях. Для бол...

В Евросоюзе собираются начать финансировать оборонную промышленность за счет ЕИБ Европейский Инвестиционный Банк пока не спонсирует производство оружия и взрывчатых веществ, а для принятие данной инициативы необходимо согласие всех стран

The Guardian: Экс-премьер Британии Джонсон готовил план вторжения в Нидерланды для кражи вакцин Правительство Бориса Джонсона потерпело поражение из-за неэффективной борьбы с COVID-19.

The Guardian: Экс-премьер Британии Джонсон готовил план вторжения в Нидерланды для кражи вакцин Правительство Бориса Джонсона потерпело поражение из-за неэффективной борьбы с COVID-19.

Defence24: ВС Нидерландов получили новые реактивные системы залпового огня PULS Голландская армия стала получать новые мультикалиберные РСЗО израильского производства

Индия старается перетянуть на себя часть именитых производителей ноутбуков и компьютерного железа Страна объявила об одобрении заявок 27 производителей ПК в рамках новой схемы стимулирования производства

Австралийский суд создал прецедент лицензирования криптовалют в деле стартапа Block Earner Согласно исследованию 2023 года, почти 32% австралийцев в возрасте 18 лет и старше, в настоящее время владеют или владели криптовалютой.

Психология в UX/UI дизайне: 10 принципов, повышающих вовлеченность и удержание Применение психологических принципов в UX/UI дизайне играет ключевую роль в создании продуктов, которые не только привлекают внимание пользователей, но и способствуют их долгосрочному вовлечению и удержанию. Вот десять продвинутых принципов психологии, которые можно использо...

«Серп и Молот» нарастит выпуск деталей для УАЗов На саратовском заводе «Серп и Молот» планируется увеличение производства компонентов для автомобилей УАЗ за счёт модернизации производственного участка. Это стало возможным благодаря государственной поддержке, которая позволила закупить необходимое оборудова...

Одна из крупнейших инвестиций в истории Honda: компания потратит 14 млрд долларов на новый завод в Канаде Honda Motor собирается инвестировать почти 14 млрд долларов в строительство завода по производству электромобилей в Канаде, о чем сообщает Nikkei. Этот завод может включать собственное производство аккумуляторных батарей для машин. По словам источников, этот проект...

Philips выплатит $ 1.1 млрд за бракованные аппараты для сна Крупный производитель медицинского оборудования Philips выплатит 1.1 миллиарда долларов для урегулирования судебных исков в США, связанных с бракованными аппаратами для лечения апноэ сна.

Раньше это был Bosch. В России возобновилось серийное производство индустриальных свечей зажигания под брендом Meteor Auto  Компания Meteor Auto, ныне владеющая бывшим заводом «Роберт Бош Саратов», сообщила о запуске производства индустриальных свечей зажигания — для промышленных двигателей газопоршневых установок и газопоршневых агрегатов. Такие, например, используютс...

Новый «китайский шок» на мировом рынке: Поднебесная может удвоить экспорт собственной техники, по данным The Wall Street Journal Газета The Wall Street Journal пишет о том, что Китай может удвоить экспорт собственной техники, что может вызвать новый «китайский шок» на мировом рынке. Согласно данным издания, Китай в настоящее время усиленно развивает производство автомобилей, машинного...

В 2023 году рынок керамического сырья демонстрирует взрывной рост: исследование ПАО «Симпреал» Рынок керамического сырья в России в 2023 году показывает взрывной рост. Наиболее выраженное увеличение показателей демонстрирует сегмент каолиновых глин. По прогнозам экспертов, к 2030 году объемы производства сырья из каолина в стране достигнут 5,2 млн тонн. Об этом свидет...

Холдинг Ростеха начал поставку новое оборудование для суперкомпьютеров Холдинг «Росэлектроника» госкорпорации Ростех начал поставки обновленного оборудования для создания суперкомпьютеров. Новая модификация стала более компактной и способной объединять серверы в мощные вычислительные кластеры для сложных расчетов. Первыми заказчиками нового обо...

Нидерланды направят ЗРК Patriot в Литву на учения НАТО Минобороны Нидерландов сообщило о отправке зенитно-ракетного комплекса Patriot для участия в ежегодных учениях НАТО в Литве в июле. Военные пробудут в Прибалтике около трех недель.

SUAS Aerospace привлекает инвестиции на 5 миллионов евро для строительства космодрома: новый космический центр позволит стране стать лидером европейских космических запусков Ирландская компания SUAS Aerospace объявила о запуске краудфандинговой кампании с целью привлечения средств в размере 5 000 000€ для расширения своей деятельности и строительства космодрома. Космодром предназначен для облегчения орбитальных и суборбитальных запуско...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

Крайне правый политик Герт Вилдерс побеждает на выборах в Нидерландах Голландский политик Герт Вилдерс одержал крупную победу на выборах, что позволяет ему сформировать следующую правящую партию.

SK hynix отрицает факт возобновления переговоров между Western Digital и Kioxia о слиянии И готовится получить от ASML передовое оборудование для экспериментов.

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

На фоне растущего спроса на высококачественную сталь для машин японская Nippon Steel покупает американскую U.S. Steel Крупнейший японский производитель стали, Nippon Steel, принял решение о приобретении американской компании U.S. Steel, работающей в том же секторе. Как пишет ТАСС, со ссылкой на официальное заявление, опубликованное на сайте Nippon Steel, сумма сделки составит $14,1 млр...

Transsion может стать четвертым по величине производителем смартфонов в мире Китайский производитель смартфонов Transsion в третьем квартале занял 8,6% мирового рынка, лишь незначительно уступив Oppo, которая занимает четвертое место с долей рынка 8,9%. При этом поставки смартфонов Transsion выросли на 35%, и, как ожидает IDC, можно ожидать выхо...

Лукашенко отменил НДС при ввозе не имеющего аналогов в стране оборудования Президент Белоруссии Александр Лукашенко подписал указ об отмене налога на добавленную стоимость (НДС) при ввозе уникального оборудования, которое не имеет аналогов в стране. Целью указала является поддержка и стимулирование создания новых производственных линий. Информ...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Обратная сторона санкций: действия Китая привели к убыткам Micron, крупнейшего производителя памяти в США Привет, Хабр! Мы не раз и не два писали о том, что торговый конфликт США и Китая негативно действует на электронную промышленность последнего. Но, как оказалось, в эту игру можно играть вдвоем. На днях стало известно, что у одного из крупнейших производителей памяти в США ...

США запретят Пентагону закупки аккумуляторов у CATL, BYD и других китайских компаний Американские законодатели запретили Министерству обороны покупать аккумуляторы, произведенные крупнейшими компаниями Китая, расширяя усилия Вашингтона по отделению цепочки поставок Пентагона от его геополитического конкурента. Правило, введенное в действие в рамках посл...

В России запустили крупнейший в Восточной Европе завод пластин из монокристаллического кремния В январе 2024 года при участии главы государства в Калининградской области запустили завод по выпуску пластин из монокристаллического кремния высокого качества.

Microsoft разрабатывает серверное оборудование для ИИ, чтобы снизить зависимость от NVIDIA Агентство The Information сообщает, что Microsoft разрабатывает новую сетевую карту, которая должна повысить производительность серверного чипа Maia AI и снизить зависимость компании от решений NVIDIA. По информации источника, руководит проектом Прадип Синду (Pradeep Sindhu...

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Nvidia теперь уступает только Apple. Компания стала вторым по величине клиентом TSMC Компания Nvidia так разрослась благодаря буму на искусственный интеллект, что в итоге стала вторым по величине клиентом TSMC.  Согласно свежим данным, в 2023 году на долю Nvidia пришлось 11% всей выручки TSMC — крупнейшего в мире производителя полупроводнико...

Wi-Fi от телекоммуникационной компании YS-System появится в московских общественных туалетах Оборудование Wi-Fi для общественных туалетов Москвы начала устанавливать компания Йота Систем. К концу года в 300 туалетах уже будет доступен беспроводной интернет. По словам руководителя YS-System, данное решение было продиктовано требованием времени. Подобная услуга реализ...

Samsung это несвойственно: создателю ChatGPT показали завод производству чипов. OpenAI и Samsung обсуждают планы о совместной разработке Сэм Альтман, генеральный директор OpenAI, производителя ChatGPT, посетил завод по производству чипов Samsung Electronics в Пхёнтхэке, провинция Кёнгидо, чтобы обсудить совместную разработку чипов для искусственного интеллекта (ИИ). Американский предприниматель встретилс...

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

В Томске начнут производить станции связи 4G и 5G Российская компания «Иртея» запустит производство оборудования для связи форматов 4G и 5G

В России запустят производство оборудования 5G Одна из дочерних компаний МТС начнёт производство оборудования для связи нового поколения

Машины с российскими номерами выгоняют из Финляднии Финляндия требует, чтобы все автомобили с российскими номерами покинули страну до 16 марта 2024 года из-за введенного запрета на их въезд. Этот запрет был введен финскими властями в прошлом году по рекомендации Евросоюза. Он требует, чтобы все автомобили, зарегистрирова...

«Нелокализованное необходимо локализовать» или как «ЛАНИТ-Интеграция» создала автономную ИТ-инфраструктуру События последних лет развернули ведение бизнес-процессов у подразделений международных компаний на 360 градусов. Не секрет, что многие зарубежные организации отключают свою ИТ-инфраструктуру от российских дочек, что ставит отечественный бизнес под угрозу. Есть и другая стор...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Власти Нидерландов прекратили добычу газа на фоне резкого подорожания нефти и её продуктов в мире Известно, что были закрыты вентили крупнейшего в Европе месторождения Грониген, которое беспрерывно функционировало последние 60 лет

Проект сборки и производства российских автомобилей Lada в Эфиопии находится в стадии проработки В Эфиопии готовятся организовать выпуск автомобилей Lada, соответствующий проект сборки прорабатывается. об этом рассказал посол РФ в Аддис-Абебе Евгений Терехин. Он отметил, что машины Lada хорошо зарекомендовали себя в Эфиопии, доказав свою надежность и неприхотливост...

Японская Rapidus получит $3,9 млрд субсидий на закупку оборудования И разработку передовых технологий упаковки чипов.

Полиция Дубая демонстрирует передовое оборудование на Dubai Airshow 2023 Полиция Дубая внедряет умные технологии, сводя преступления к нулю

TCL объединила глобальных партнеров на GPC 2024 Компания TCL, являющаяся ведущим брендом потребительской электроники и одним из двух крупнейших в мире брендов телевизоров, провела Глобальную конференцию отраслевых партнеров TCL 2024 г. На мероприятие под лозунгом «Объединимся ради великолепия» собралось около 500 бизнес-п...

ТОП лучших FDM 3D принтеров для бизнеса и производства 2023 В эпоху инноваций и технологического прогресса 3D-печать стала настоящим прорывом в производственной сфере. Компания LIDER-3D, лидер в области передовых технологий, с гордостью представляет вашему вниманию топ-16 профессиональных 3D принтеров 2023 года. Этот список непременн...

TSMC готовится к росту рынка ИИ и инвестирует $16 млрд в производство CoWoS TSMC надеется быстро расширить производство упаковочных пластин CoWoS за счет масштабных инвестиций, обеспечивая бесперебойную цепочку поставок для клиентов ИИ.

Разработчики компании «Фродекс» представили новую систему Vulns.io Enterprise VM Vulns.io Enterprise VM – это новая система управления уязвимостями активов IT-инфраструктуры в автоматическом режиме. Новинка является решением для мониторинга защищенности крупных инфраструктур, превышающих 10 000 активов, использующим оркестратор Kubernetes. Vulns.io Enter...

Continental увольняет тысячи сотрудников по всему миру По сообщению немецкого экономического журнала Manager Magazin, компания Continental, занимающаяся производством шин и автокомпонентов в Германии, намерена сократить приблизительно 5,5 тыс. рабочих мест по всему миру. Планы об этом были озвучены топ-менеджменту компании ...

Министр торговли США невероятно встревожена достижениями Huawei и хочет больше инструментов давления Министр торговли США Джина Раймондо (Gina Raimondo) выразила озабоченность последними достижениями Huawei. В частности, выходом SoC Kirin 9000s.   создано DALL-E в Bing Раймондо говорит, что сообщение о том, что китайская фирма разработала передовые чипы, является...

Samsung разрабатывает LPDDR5X со скоростью 10,7 Гб/с Компания Samsung Electronics объявила об усовершенствовании технологии производства памяти, которая позволит создать первые модули LPDDR5X DRAM с высочайшей на сегодня скоростью 10,7 Гб/с.

Пресс-служба батальона Восток: Помощь Киеву может ослабнуть на фоне событий в Израиле Украинская повестка постепенно отходит на второй план и уже не является приоритетом для многих западных стран

Крупнейший в мире производитель микросхем TSMC построит второй завод в Японии Гигант по производству микросхем, Taiwan Semiconductor Manufacturing Company (TSMC), планирует увеличить свои производственные мощности в Японии, построив там второй завод

Нидерланды намерены передать истребители F-16 Украине в 2024 году Министр обороны Нидерландов, Кайса Оллонгрен, объявила о планах передачи истребителей F-16 Украине во второй половине 2024 года. Помимо этого, Нидерланды планируют выделить значительные средства на модернизацию украинской армии.

В Сети появилась фотография «ремейка» Nokia 3210, выходящего в этом году Новость для всех поклонников «несокрушимого» Nokia 3210. HMD, компания, владеющая лицензией на производство телефонов Nokia, похоже, готовит ремейк этого культового телефона.

SpaceX планирует увеличить частоту запусков: до 144 за 2024 год Компания Илона Маска уже совершила 74 орбитальные миссии в 2023 году, больше, чем любая другая частная компания когда-либо за год. Предыдущий рекорд так же принадлежал SpaceX и составлял 61 запуск за 2022 год. Но в ближайшие 2,5 месяца компания планирует достигнуть 100 ...

МегаФон создал интеллектуальную логистическую систему для промышленных гигантов страны Система уже эффективно работает на строящемся крупном химическом производстве Дальнего Востока.

LG подписала крупнейшее соглашение в истории о поставке аккумуляторов для Toyota Toyota подписала соглашение с LG Energy Solution, по которому компания получит литий-ионные аккумуляторные модули для использования в будущих электромобилях, собираемых в США. Как пишет carscoops, эта сделка является крупнейшим соглашением о поставке аккумуляторов для м...

Alltech с Vital Group создаст производство первоклассных средств медицинской визуализации Компания Alltech, разработчик первоклассного оборудования для медицинской визуализации в рамках группы Vital Group, провела пресс-конференцию под названием «Радость жизни, мечта инноваций» на 88-й Китайской международной выставке медицинского оборудования (China Internationa...

Власти Нидерландов могут запретить ASML обслуживать китайских клиентов Продолжающаяся торговая война между США и Китаем дошла до самого сердца чипмейкерских технологий, и Нидерланды оказались под перекрестным огнем. Голландское правительство, похоже, готово частично уступить требованиям США, ограничив возможности ASML по обслуживанию некоторого...

Фотоэкскурсия по кампусу ЦОД в Meta городе Игл Маунтин, который активно расширяется В первом квартале 2023 года интернет-корпорация Meta (материнская компания Facebook) сообщила о выручке в размере 28,65 млрд долларов (рост на 3%) и чистой прибыли в размере 5,71 млрд долларов (снижение на 24%). Корпорация продолжает увольнять сотрудников, поскольку ее генер...

Французская компания Latitude привлекла $30 млн на разработку малой ракеты-носителя Французская компания Latitude, специализирующаяся на разработке небольших ракетных двигателей, привлекла $30 миллионов инвестиций для производства своих первых ракет. Это объявление было сделано 22 января, когда компания объявила об успешном раунде финансирования серии ...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

В России наладили серийное производство управляемых коммутаторов Ethernet Пермский разработчик и производитель оборудования связи «Морион» успешно запустил серийное производство управляемых коммутаторов Ethernet, предназначенных для создания высокопроизводительных сетей связи. В сообщении, опубликованном пресс-службой Фонда развит...

Шэньчжэнь: ISLE 2024 с новыми технологиями дисплеев, аудио/видео, интеграции систем, LED ISLE 2024, крупнейшая в Азии выставка интеллектуальных дисплеев, аудиовизуальных средств, системной интеграции и светодиодов, открылась в Shenzhen World Exhibition & Convention Center (Shenzhen World). Шэньчжэнь является знаменитым мировым центром индустрии дисплеев, а I...

MediaTek заключила контракт с Samsung Согласно информации инсайдеров, корейский гигант Samsung согласился на подписание эксклюзивной сделки с MediaTek, благодаря которой чипы MediaTek могут быть использованы в предстоящих моделях смартфонов бренда в бюджетном и среднем сегментах. Если верить этому инсайду, компа...

США пытаются надавить на Нидерланды, Японию и Южную Корею в вопросе обслуживания китайских компаний Поставки оборудования через третьи страны тоже нужно ограничить.

В ЦАГИ работают над проектом самолета малой авиации Фото: ЦАГИ В России малая авиация является одним из самых востребованных направлений всей авиационной отрасли, а воздушные суда, разрабатываемые для местных авиалиний, должны быть современными в плане планера, силовой установки и оборудования, а также соответствовать всем а...

Toyota начинает производство машин после взрыва у ключевого поставщика комплектующих Toyota сообщила в понедельник, 23 октября, что работа на некоторых заводах в стране возобновилась после того, как авария на заводе поставщика запчастей неделей ранее привела к сбою в производстве. Крупнейший в мире автопроизводитель по объему перезапустил пять производс...

BMW и Mercedes, подвиньтесь. BYD собирается занять лидирующие позиции на рынке элитных автомобилей: производитель обещает ряд новинок BYD рассказал о своих планах на будущее на рынке элитного сегмента: компания выпустит ряд элитных моделей класса люкс в 2024 году и позже, планируя занять лидирующие позиции на рынке премиальных машин, благодаря характеристикам и высочайшим стандартам качества. BYD заяв...

Резидент «Сколково» увеличил производство продукции для электродвигателей и робототехнических систем Компания разрабатывает и производит компонентную базу современных мехатронных и робототехнических систем.

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Санкции США против Китая угрожают Nvidia, AMD и ASML Как пишет Seekingalpha, новые правила США об экспорте чипов в Китай вряд ли окажут сильное краткосрочное влияние на такие компании, как Nvidia и AMD, но в долгосрочной перспективе картина может быть совсем иной. Nvidia и ASML заявили, что эти правила окажут минимальное ...

Японцы вкладывают огромные средства, чтобы доминировать на рынке чипов. Renesas покупает Altium почти за $6 млрд Японский производитель полупроводников Renesas Electronics заявил, что покупает зарегистрированную в Сиднее компанию по разработке программного обеспечения Altium за 9,1 миллиарда австралийских долларов (5,89 миллиарда долларов) наличными, поскольку компания стремится о...

Tecno представила необычную игровую консоль Pocket Go Сегодня в рамках выставки MWC 2024 компания Tecno официально представила устройство под названием Pocket Go — это передовая портативная игровая консоль, у которой нет собственного дисплея. Всё дело в том, что дисплей находится не в самом устройстве, а является частью AR-очко...

«Самый востребованный в России легкий коммерческий автомобиль». АвтоВАЗ выпустит 80 машин Lada Largus до мая На заводе «Лада Ижевск» до конца этой недели соберут опытную партию Lada Largus по полному циклу производства. Как сообщает главный редактор журнала «За рулем» Максим Кадаков, первыми будут пять коммерческих фургонов, а всего до мая будет со...

В Google Pixel появится функция дозвона компаниям, пока поддержка не ответит Компания Google запустила тестирование функции «Поговорить с представителем в режиме реального времени» на смартфонах Pixel. Функция является автоматическим дозвоном и удержанием звонка, когда пользователю нужно связаться с поддержкой какой-либо компании.

i3D планирует построить завод по производству 3D-принтеров в Татарстане Группа компаний i3D (НПО «3Д Интеграция») намеревается в течение восьми лет организовать производство аддитивных систем для 3D-печати металлами, керамикой и полимерами с годовыми объемами почти в триста 3D-принтеров. Помимо промышленного аддитивного оборудования планируется ...

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Следующее поколение графических ускорителей Nvidia может потреблять до 1000 ватт Об этом сообщает компания Dell, специализирующаяся на производстве серверного оборудования

Tesla планирует построить новый завод по производству аккумуляторов для электромобилей Оборудование для предприятия будет закуплено у китайской компании Contemporary Amperex Technology Co. Ltd.

Граждан Испании обязали задекларировать зарубежные криптоактивы Жители Испании, владеющие любыми криптовалютами на не зарегистрированных в стране платформах, должны будут задекларировать активы до 31 марта 2024 года

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

Япония пытается восстановить лидерство в производстве передовых микросхем — EAF Японская чип-индустрия переживает подъем на фоне американских санкций против китайских технологических компаний

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

Минпромторг может запретить госкомпаниям закупать оборудование у иностранных производителей Консорциум «Вычислительная техника» («Аквариус», Yadro, Depo и др.) обсуждает с Минпромторгом РФ возможность изменения правил постановления правительства №878 «О мерах стимулирования производства радиоэлектронной продукции на территории РФ». Цель консорциума заключается в то...

Бытовая техника из Китая, Турции и Белоруссии стала очень популярна в России В 2023 году производители крупной бытовой техники из Китая, Турции и Белоруссии оказались на первом месте российского рынка, забирая более 40% доли. Это относится к холодильникам, стиральным машинам и плитам от крупнейших производителей из этих стран, пишет «Известия».

[Перевод] Системное мышление: основополагающие концепции В этой серии статей я поделюсь ключевыми идеями и инструментами для развития системного мышления, необходимого для решения комплексных проблем и перехода к экономике замкнутого цикла. В первой части разберём шесть ключевых понятий. Читать далее

Наше — началось серийное производство российских мониторов «Аквариус» Компания «Аквариус» заявил о начале серийного производства российских мониторов. Доступны две модели с экранами диагональю 27" и 23,8". Обе оснащены антибликовым покрытием, имеют разрешение Full HD и поддерживают технологии Low Blue Light, FreeSync...

АвтоВАЗ принял новое решение по LADA Vesta: производство панели приборов перенесут Большая задержка с перезапуском производства Lada Vesta была обусловлена переносом всего необходимого оборудования из Ижевска в Тольятти. Но, как оказалось, перенесли не всё: панели приборов по-прежнему производили в Ижевске, а в Тольятти осуществляли лишь досборку комп...

FT: Из-за дефицита рабочих в западных странах для снабжения ВСУ оружием потребуется два года Из-за нехватки сварщиков, электриков и других квалифицированных рабочих производителям оборонной продукции на Западе нужно два года для производства достаточного количества оружия, чтобы солдаты ВСУ были снабжены так же хорошо, как и российские войска. Об этом сообщает Finen...

Россияне не останутся без Toyota RAV4, Kia Sportage, Volkswagen Passat и Volvo XC60. Обнародован план закупок крупного дилера «Авилон» на 2024 год Сергей Цыганов, автоэксперт и автор Telegram-канала «Русский автомобиль», ознакомился с планом крупного дилера «Авилон» по поставкам автомобилей на российский рынок в 2024 году. В нем есть ряд интересных моментов. Во-первых, россияне получат кит...

Запущено первое иммерсивное приложение Mindvalley для медитации и личного роста на Apple Vision Prо Mindvalley, ведущая платформа личностного роста, объявила о запуске своего новаторского иммерсивного приложения для медитации и личностного развития на базе Vision Pro — революционной гарнитуры Apple для пространственных вычислений. Приложение, являющееся совместной разработ...

Xiaomi, Huawei, Oppo и Honor разрабатывают новый стандарт изображения HDR Xiaomi производит и продает смартфоны с одним из самых передовых аппаратных обеспечений камеры, которое можно найти на рынке.

США спешно разрабатывают передовую систему РЭБ, пытаясь не отстать от российских аналогов Судя по всему, в Пентагоне делают выводы по ходу украинского конфликта

Nvidia представила геймплей Star Wars Outlaws с DLSS 3 и трассировкой лучей Игра, разрабатываемая студией Massive Entertainment, обещает стать одним из самых ярких видеоигр этого года, благодаря внедрению передовых технологий Nvidia

«Микрону» в импортозамещении материалов помогут партнеры Самый крупный отечественный производитель микрочипов «Микрон» объявил о договоренности с двумя российскими партнерами, которая позволит повысить степень импортозамещения в области производства микрочипов.

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

В России определились с диапазонами для 5G В России планируется использовать частоты в диапазоне 4,4–4,99 ГГц для развертывания технологии 5G. Это стало известно из новой Таблицы распределения полос радиочастот, утвержденной правительством в конце января. Как пишет «Известия», для использования...

В сети появились характеристики Xiaomi 14 Ultra Как всегда флагман Xiaomi получит самое передовое оборудование и технологии, а также станет лидером по их внедрению

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Российский автопроизводитель будет сам производить подушки безопасности Российский автомобильный производитель "Соллерс" ведет подготовку к запуску производства ключевых компонентов системы пассивной безопасности для автомобилей. Подушки безопасности, электронные блоки управления, рулевые колеса и ремни безопасности будут производиться на базе У...

Крупнейшие операторы США обманывали граждан «безлимитными» тарифами и телефонами Компании T-Mobile, Verizon и AT&T были оштрафованы на крупную сумму за обманчивую рекламу. По результатам расследования, проведенного в нескольких штатах США, операторы связи ввели клиентов в заблуждение, заявив о «безлимитных» планах передачи данных, которые снижали ско...

В Москве загорелся завод специализированных автомобилей Вчера стало известно о том, что в здании завода специализированных автомобилей в Москве произошло возгорание. Ему был присвоен второй (повышенный) номер сложности. На место выехали около 35 пожарных расчетов. Московский завод специализированных автомобилей представляет ...

NASA определило трёх ключевых партнёров для разработки луноходов программы Artemis Опубликованные документы NASA раскрывают процесс выбора трёх компаний, которые будут продолжать работу над разработкой лунохода для программы Artemis. Сбалансированные затраты, возможности и опыт оказались решающими факторами при отборе. 3 апреля NASA объявило о выборе ...

Во Владивостоке перестали работать паркоматы из-за санкций Европейского союза Компания-производитель парковочного оборудования уведомила дистрибьютора о прекращении поддержки программного обеспечения из-за санкций ЕС

Сербия планирует приобрести 5000 беспилотников Mosquito для усиления обороны Президент Сербии Александр Вучич объявил о планах страны приобрести 5000 ударных беспилотников «Mosquito», оснащенных высокоточными боеголовками «Крушик-Валево». Сделка оценивается в 6,5 миллиардов долларов и станет одним из крупнейших в мире контрактов на закупку беспилотны...

Редкий Mercedes-Benz 600 Pullman Джона Леннона выставили на продажу Британская компания Tom Hartley Jr предлагает к продаже редкий Mercedes-Benz 600 Pullman, который является одним из самых известных автомобилей этой модели. Этот лимузин привлекает внимание не только своим редким статусом, но и богатой историей владения, включая периоды...

NASA успешно провело 9 из 12 испытаний двигателя RS-25 для ракеты SLS 6 марта NASA успешно провело полноценное испытание двигателя RS-25, завершая последний этап сертификационных испытаний новых двигателей для ракеты SLS (Space Launch System). Ожидается, что эти двигатели будут использованы в будущих миссиях программы Artemis, направленны...

Газета The New York Times засудит OpenAI за обучение чат-бота на статьях издания Одно из крупнейших американских медиа, газета The New York Times, подала в суд на компании, разрабатывающие ИИ — Microsoft и OpenAI — за обучение своих чат-ботов на статьях. Это первое крупное издание, которое подало в суд на Microsoft и OpenAI.

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Lucid стал первым производителем электромобилей, открывшим завод в Саудовской Аравии Генеральный директор Lucid Питер Роулинсон заявил что они рады войти в историю Саудовской Аравии, открыв первый в стране завод по производству электромобилей.

Крупнейшее за 25 лет землетрясение на Тайване: Роскосмос показал фото из космоса Роскосмос продолжает демонстрировать возможности спутника «Канопус-В», оперативно публикуя на всеобщее обозрение фотографии, демонстрирующие последние разрушения в мире. Вчера близ восточного побережья Тайваня произошло сильнейшее за 25 лет землетрясение. По...

Amazon готовится запустить производство спутников проекта Kuiper после успешных испытаний Kuiper — это проект, разрабатываемый компанией Amazon, целью которого является создание коммерческой сети спутниковых связей. Вице-президент технологий космического спутникого кластера, Раджив Бадьял, сообщил, что проект достиг значимого прогресса после успешных т...

[recovery mode] Почему я и мой стартап променяли США на Голландию? Всем привет. Меня зовут Алекс, я уехал из России в 2015, по-серьезному жил в 3 странах (Швеция, Израиль, США), но в итоге выбрал Нидерланды для жизни и работы. Я переехал сюда по стартап-визе в 2022 году, развиваю свой небольшой стартап, работаю в системе нидерландских фасил...

В России дефицит внедорожников УАЗ «Патриот». Производитель не отрицает нехватку автомобилей Никогда такого не было – и вот опять: в России вновь дефицит внедорожников УАЗ «Патриот». Как сообщает «Газета.ru», он этом рассказали в восьми дилерских центрах в разных регионах России. Фото: УАЗ Производитель тоже не стал отрицать нехва...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Ученые создадут ИИ для анализа хирургических видео Ученые из Университетской больницы Бонна, Университета Бонна, Фонда глазных клиник Санкара Индия и Microsoft Research India разрабатывают алгоритм для автоматического анализа видеозаписей операций по удалению катаракты, наиболее распространенной методикой в странах Глобально...

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

ASML собирается уйти из Нидерландов. Производителю литографов надоел постоянный контроль со стороны государства Нидерландская компания ASML — одна из наиболее важных для отрасли разработки и производства электроники. Она, как многократно писали на Хабре, производит наиболее совершенные литографы в мире. Но сейчас у ASML есть немалые сложности — не денежные, но конъюнктурные. Дело в т...

Faberant выпустила сопла повышенной эффективности для 3D-принтеров Cube Российская компания-производитель аддитивного оборудования Faberant завершила разработку сопел с заявленным двукратным повышением производительности при сохранении габаритов.

Десятки тысячи Hyundai и Kia могут собрать в России под брендами GAC или BAIC Существует несколько сценариев для будущего производства автомобилей на бывшем заводе Hyundai в Санкт-Петербурге. По информации «Фонтанки», рассматривается вариант использования оставшихся компонентов на предприятии, что предполагает производство тех же моде...

В России разрабатывают "Герань" с функциями дальнего разведчика и комплекса целеуказания Теперь донельзя оперативное уничтожение важнейших целей ВСУ возможно вдали от передовой

Взлом аппаратного ключа методом veni, vidi, vici К одному очень дорогому оборудованию для работы управляющей программы нужен аппаратный ключ с зашитой датой, указывающей, когда право использования оборудования кончается. За ключи исправно платили заграничному вендору, но после санкций это стало невозможным и оборудование с...

Поставщики оборудования для производства микросхем сообщают о выросших продажах в КНР Китайские компании стараются перестраховываться на случай более жёстких санкции со стороны США

Apple продаст 5 миллионов iPad Pro за год Сегодня специалисты компании TrendForce спрогнозировали, что компания Apple продаст от 4,5 до 5 миллионов OLED-планшетов iPad Pro в этом году. Стоит отметить, что это общее количество для моделей 11-дюймовой и 13-дюймовой диагонали. Кроме того, что достаточно важно, план объ...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

Производство Lada Largus в России возобновится в мае 2024 года. К тому моменту платформе B0 исполнится 20 лет АвтоВАЗ традиционно входит в число автопроизводителей-лидеров по древности модельного ряда, и перезапуск Lada Largus в следующем году ситуацию ничуть не улучшит: по сути, это все тот же Renault Logan первого поколения на платформе B0. Как рассказал «Российской газ...

Стоимость компании NVIDIA превысила ВВП большинства стран мира. В том числе и России Невероятно, но факт: стоимость компании NVIDIA, производителя видеокарт, превысила ВВП большинства стран мира, включая Россию.

NVIDIA GeForce RTX 3060 в ближайшем будущем должна подешеветь Некоторое время назад появилась информация о том, что производитель хочет заморозить выпуск видеокарты NVIDIA GeForce RTX 3060, чтобы дать пространство новому поколению видеокарт компании. Однако теперь ситуация в корне изменилась и инсайдеры уверены, что видеокарта в ближай...

General Motors увела у Tesla «гигалитейного» партнера Корпорация General Motors выкупила мичиганскую компанию Tooling & Equipment International (TEI), сыгравшую ключевую роль в совершенствовании методов крупноформатного литья под давлением, применяемых компанией Tesla. TEI полагается на аддитивное производство литейной оснастки...

Серверы из линейки vStack-R, как альтернатива оборудованию западных вендоров В современном мире, где время является ценным ресурсом, обеспечение доступности и надежности серверных решений становится ключевой задачей. В начале 2022 года, когда на рынке наблюдался дефицит полупроводников и чипов, мы поставили перед собой цель предложить качественное и ...

IT Recruiting — HR Forum 2023 После всех потрясений 2022 года на рынке iT кадров России наметилась стабилизация. Количество резюме на рынке вакансий растет, но происходит это в основном за счет появления большого количества джунов, а вот мидлов и сеньоров по-прежнему не хватает. Бизнесу в этой ситуации н...

Нидерланды в сентябре возобновили покупку российского СПГ Нидерланды в сентябре вновь начали покупать СПГ из России. Делается это без особой огласки и после трех месяцев перерыва в закупках. Интересно в этой ситуации то, что никакой экстренной нужды в российском газе у Нидерландов не было – в сентябре отопительный сезон еще не нача...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Российский автопром покинул последний крупный западный инвестор: Daimler (Mercedes) продал свою долю в КамАЗе Немецкий концерн Daimler Truck продал свою долю в ПАО «КамАЗ», которая включала 15% акций, о чем заявила гендиректор КамАЗа Сергей Когогин в интервью «Ведомостям». На данный момент неизвестно, кто стал покупателем доли КамАЗа, ранее принадлежавши...

У ЕС в планах заставить IT-компании оплачивать развитие сетей Европейские телекоммуникационные компании призывают Еврокомиссию обязать крупные технологические компании внести справедливый вклад в развитие сетей

Совфед намерен восстановить производство лифтов в России В России появился план воскрешения лифтовой отрасли промышленности – к началу мая текущего года по указу председателя Совета Федерации РФ Валентины Матвиенко должно быть подготовлено соответствующее предложение. Согласно главе верхней палаты, необходимо обновить и максимальн...

Оборонные компании Европы разрабатывают лазерное оружие второго поколения на основе DragonFire Ведущие оборонные компании Европы, включая MBDA, Leonardo и QinetiQ, работают над проектами "второго поколения" лазерных систем вооружений, основанных на технологиях прототипа DragonFire. Эти передовые лазерные системы смогут использоваться как на море, так и на суше, открыв...

Почти монополия: Китай ограничивает экспорт не только редкоземельных металлов, но и технологий по их переработке Китай принял решение существенно ограничить экспорт технологий, связанных с добычей, разделением и производством редкоземельных металлов. С 1 августа 2023 года КНР запретили вывоз за рубеж галлия и германия (а чуть позже и графита), а теперь последовало новое правило с запре...

Аккумулятор iPhone 16 Pro показали крупным планом на качественных фото В сети впервые появились шпионские фотографии и информация об аккумуляторе iPhone 16 Pro, которые выложило издание Mydrivers. Судя по просочившимся изображениям, емкость аккумулятора раннего прототипа iPhone 16 Pro составляет всего 3355 мА•ч, что эквивалентно 13,02...

Это же на что будет способен такой суперкомпьютер? Microsoft и OpenAI хотят построить систему стоимостью до 100 млрд долларов Компании Microsoft и OpenAI собираются построить невероятно дорогой суперкомпьютер под названием Stargate.  создано DALL-E На данный момент руководители компаний разрабатывают план создания ЦОД, который будет содержать Stargate с миллионами специальных серверных ч...

iFixit оценили инструмент Apple для самостоятельного ремонта: он неоднозначный Специалисты по ремонту техники iFixit подробно изучили новый инструмент диагностики оборудования Apple — ключевой компонент программы самостоятельного ремонта, запущенной компанией в прошлом году. Ранее диагностический инструмент был доступен только для магазинов Apple и авт...

Если страны НАТО отдадут Зеленскому все ЗРК Patriot, то наберут только половину от необходимого Именно к таким выводам можно прийти, если изучить наличные комплексов ЗРК Patriot на территории стран НАТО

Кроссовер "Москвич 8" будет представлен к концу следующего года Олег Масляков, директор автозавода "Москвич", в беседе с РИА Новости поделился информацией о планах представления нового кроссовера D-класса под названием "Москвич 8". По его словам, новая модель запланирована к выпуску ближе к концу следующего года и будет являться одной из...

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Закрылся швейцарский производитель SLS 3D-принтеров Sintratec Предприятие проработало десять лет и завоевало известность как один из немногих производителей недорогих 3D-принтеров по технологии селективного лазерного спекания полимерных порошков. Пользователи оборудования продолжат получать техническую поддержку со стороны партнерских ...

Тайвань готовится к тестированию спутниковой системы на острове Тайпин Министерство цифровых технологий Тайваня анонсировало планы по тестированию среднеорбитальной спутниковой системы на острове Тайпин в конце апреля. Эта инициатива является частью стратегии страны по укреплению местной устойчивой связи.

Китайцы готовы разрабатывать автомобили специально для России С довольно неожиданным заявлением выступил посол КНР в России Чжан Ханьхуэй во время проведения второго Российско-китайского автопромышленного форума. Он сказал, что Китай готов разрабатывать автомобили специально для России. Несмотря на наличие собственного завода в Р...

Производитель Mercedes G-Class поможет Volkswagen создать новейшие внедорожники Scout для конкуренции Ford Bronco и Jeep Wrangler Volkswagen обратился к Magna Steyr, австрийскому автопроизводителю и инжиниринговой компании, за помощью в разработке прочных автомобилей для своего возрожденного американского бренда Scout. Местная газета Kleine Zeitung сообщает, что стоимость сделки составляет почти п...

Производством раздаточных коробок для полноприводных грузовиков КамАЗ теперь занялся Тутаевский моторный завод Российский производитель грузовых автомобилей КамАЗ переносит производство раздаточных коробок, о чём сообщает инсайдерский телеграм-канал «Автопоток». КамАЗ переносит производство раздаточных коробок для полноприводных грузовиков. Теперь их выпуском будет з...

MediaTek легко обходит Apple и Qualcomm. Компания продала больше всех SoC для смартфонов в прошлом квартале Компания MediaTek в прошлом квартале продала больше однокристальных систем для смартфонов, чем любая другая компания, причём с огромным отрывом от конкурентов.  Согласно данным Canalys, MediaTek за прошлый квартал поставила 117 млн SoC, что на 21% превышает показа...

«Сбер» начал разрабатывать искусственный интеллект для человекоподобных роботов Обучение роботов является частью проекта компании по созданию собственного человекообразного робота

Японское космическое агентство в сотрудничестве с Mitsubishi Heavy Industries разрабатывает планы по созданию новой многоразовой ракеты для будущих космических миссий В плане отмечается проведение исследований и разработка нового поколения ракеты, вслед за новой ракетой Н3. «В соответствии с базовым планом по космической политике JAXA начала исследования по созданию ракеты нового поколения, обладающей функцией многократного исп...

The Times: Великобритания экспортировала в Россию ключевое оборудование на $100 млн. Британские товары попадают в Россию с помощью параллельного импорта.

Генерал-лейтенант ВС РФ: САУ "Мальва" показывает прекрасные результаты на передовой СВО Колесная версия с пушкой 2А64 восхитительно маневренна и оснащена современным прицельным оборудованием

Китай запустил крупный завод с уникальной технологией производства этанола China Daily: компания Shaanxi Yanchang Petroleum Group в сентябре запустит на северо-западе Китая самое масштабное в мире производство этанола из каменного угля.

Медведев: США являются ключевым игроком в конфликте между Израилем и Палестиной Медведев назвал ключевого игрока в конфликте между Израилем и Палестиной

Китайские солнечные панели угрожают банкротством производителям такого оборудования в ЕС Столкнувшись со слишком большой конкуренцией со стороны китайского рынка и падением цен на солнечные панели в Китае, швейцарский производитель Meyer Burger рассматривает возможность закрытия своего производственного предприятия в Европе.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)