Социальные сети Рунета
Четверг, 16 мая 2024

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

ASML собирается уйти из Нидерландов. Производителю литографов надоел постоянный контроль со стороны государства Нидерландская компания ASML — одна из наиболее важных для отрасли разработки и производства электроники. Она, как многократно писали на Хабре, производит наиболее совершенные литографы в мире. Но сейчас у ASML есть немалые сложности — не денежные, но конъюнктурные. Дело в т...

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Эпоха 2-нм чипов: ASML отгружает EUV-литографы третьего поколения. Что это значит для индустрии Источник: st.overclockers.ru Нидерландская компания ASML продолжает развиваться, разрабатывая новые технологии для производства современных чипов. В конце 2023 года стало известно, что компания разработала литограф, способный работать с 2-нм техпроцессом. И сейчас началась...

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

CEO Nvidia: для создания независимой электронной отрасли США понадобится около 20 лет На конференции DealBook New York Times генеральный директор Nvidia Corp. Дженсен Хуанг заявил, что США, вероятно, понадобится пара десятков лет для того, чтобы стать независимыми в поддержании собственной цепочки производства и поставок чипов. Руководитель Nvidia затронул...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Xiaomi бросает вызов Tesla, выпуская по одному SU7 каждые 76 секунд Китайская компания Xiaomi, известная своими инновациями в сфере электроники, раскрыла подробности о передовых производственных процессах, которые она использует для выпуска своего электрического внедорожника SU7. Xiaomi бросает вызов лидерам рынка, демонстрируя высокую степе...

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Motorola и Sharp начнут обмениваться патентами на дружеской основе Lenovo на своем официальном сайте сообщила, что дочерняя компания Motorola Mobility, заключила глобальное соглашение с компанией Sharp о перекрестном лицензировании их соответствующих технологий беспроводной связи. Компании выразили удовлетворение достигнутым соглашением, по...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Слишком дорого даже для Apple. Экран MicroLED для новых часов Watch Ultra в четыре раза дороже экрана OLED Компания Apple, согласно ранним слухам, собирается использовать экраны MicroLED для своих умных часов Watch Ultra в обозримом будущем. Однако оказалось, что эти экраны слишком дороги.  Сообщается, что изначальные планы выпуска таких часов в 2026 году могут оказать...

Китайские процессоры становятся все лучше: серверный чип 3C6000 от Loongson соревнуется с AMD Epyc на базе Zen 3 Поднебесная продолжает развивать собственную отрасль разработки и производства электроники. Неплохо себя чувствуют многие компании из Китая, но сейчас речь пойдет о Loongson, которая разрабатывает процессоры на основе собственной архитектуры LoongArch. Сейчас представлен се...

Представлена передовая камера наблюдения Xiaomi CW300 Китайская компания Xiaomi вновь вышла за рамки с официальным выпуском уличной камеры Xiaomi CW300.

Экономим на Kubernetes с помощью OpenCost — и другие прелести FinOps Вне зависимости от того, кто вы: небольшой стартап или развитая компания — задача управления затратами особенно важна. Модное понятие FinOps, оно же Financial Operations или Cloud Financial Operations, помогает организациям наиболее эффективно и экономически выгодно использ...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Nested-виртуализация в Parallels Desktop 8 для разработки под Windows 8 Phone Я расскажу о том, как с помощью Parallels Desktop 8 на Маке воспользоваться возможностями Hyper-V, встроенного в Windows 8. Nested-виртуализация здорово выручит iOS- и Android-разработчиков, которые собрались подготовить свои приложения для маркетплейса Windows 8 Phone. Но...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

KleiinVision продала Китаю лицензию на производство летающих автомобилей Компания KleiinVision из Словакии продала ограниченную лицензию на производство летающих автомобилей китайской компании Hebei Jianxin Flying Car Technology. Это соглашение позволит китайской компании производить и распространять технологию летающих автомобилей в рамках опред...

Китай нашел свободных от санкций поставщиков оборудования для производства чипов из Южной Кореи. Перспективы отрасли Мы не раз и не два писали о влиянии санкций США на китайские компании из отрасли производства и разработки электроники. Сначала казалось, что санкции действуют, и очень хорошо — у Китая начались сложности почти сразу после введения различных мер со стороны США. Но спустя не...

Правительство США готовит «черный список» китайских производителей чипов США работает над списком китайских заводов, которым запрещено использовать передовые инструменты для производства чипов, поскольку Китай продолжает накапливать их запасы.

Китай закупает передовые чипы Nvidia в обход санкций США Несмотря на расширенные ограничения США на экспорт передовых чипов Nvidia с технологиями ИИ в Китай, десяти китайским компаниям, связанным с правительством, удалось закупить эти чипы, встроенные в серверные продукты, у таких известных поставщиков, как Super Micro Comput...

Китай выдает миллиарды долларов США на освоение производства современных чипов. Поставщиков становится больше Правительство Китая продолжает программу развития отрасли разработки и производства электроники. При этом чиновники не кладут все яйца в одну корзину, а занимаются диверсификацией государственной помощи предприятиям. Сейчас стало известно, что государство выделит инвестици...

Лавочка закрылась. Nvidia перестала принимать заказы на чипы от китайских компаний Американская технологическая компания Nvidia прекратила принимать заказы от китайских компаний на свои передовые чипы на базе технологий искусственного интеллекта. Как пишет ТАСС со ссылкой The Wall Street Journal, это решение связано с недавними экспортными ограничения...

Китай все активнее развивает индустрию производства чипов. И не всегда явно Относительно недавно мы публиковали статью «Huawei продолжает развиваться, только тайно». В ней говорилось о том, что Huawei сейчас строит новые предприятия по производству полупроводниковых элементов и систем на территории Китая. Причем делает это через посредников, скрыв...

США ещё сильнее ограничивают доступ Китаю к технологиям Министерство торговли, которое курирует экспортный контроль, работает над ужесточением экспортных ограничений, впервые введённых в прошлом году. Как пишет Reuters, изменения правил направлены на ограничение доступа к большему количеству инструментов для производств...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Нидерланды сделают все, чтобы оставить ASML в стране: миллиардные инвестиции и всесторонняя помощь Привет, Хабр! Недавно мы опубликовали статью «ASML собирается уйти из Нидерландов. Производитель литографов целится на международный уровень». В ней говорилось о том, что производитель самых современных литографов в мире планирует перенести штаб-квартиру из Нидерландов из-з...

Росатом установит собственные 3D-принтеры в Центре аддитивных технологий В пресс-службе Топливной компании Росатома, "ТВЭЛ", сообщили, что компания собирается установить собственные 3D-принтеры в Центре аддитивных технологий общего доступа (ЦАТОД), который создается на базе Передовой инженерной школы Томского политехнического университета, пишет ...

AMD купила компанию для работы с ИИ Ни для кого не секрет, что современный рынок высоких технологий активно двигается в сторону искусственного интеллекта, так как решения на базе этой технологии позволяют существенно упростить многие технологические процессы. Например, сейчас мало кого можно удивить системой, ...

Безопасность в Docker: от правильной настройки хоста до демона Привет, Хабр! Меня зовут Эллада, я специалист по информационной безопасности в Selectel. Помогаю клиентам обеспечивать защиту инфраструктуры и участвую в разработке новых решений компании в сфере ИБ. И сейчас я начала больше погружаться в тему разработки и изучать лучшие пр...

В Canon разработали 5-нм литограф, который стоит дешевле, чем система от ASML. Но есть нюанс В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет...

Импортозамещение по-американски: США начинают выделять серьезные средства полупроводниковым компаниям внутри страны В 2022 году Штаты начали реализацию программы по развитию индустрии производства чипов внутри страны. Речь идет о законе CHIPS Act. Согласно ему, компаниям, которые развертывают производства внутри государства, выделяются средства в общем размере $52,7 млрд. Речь, конечно...

Google выпустила платную версию Chrome – с нейросетями и усиленной защитой Компания Google на прошедшем мероприятии Google Cloud Next представил свою новую разработку – версию браузера Chrome для корпоративного использования. Несмотря на множество особенностей новинки, главное, что привлекло к ней широкое внимание со стороны пользователе...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Япония пытается восстановить лидерство в производстве передовых микросхем — EAF Японская чип-индустрия переживает подъем на фоне американских санкций против китайских технологических компаний

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Near запускает два новых инструмента в помощь Web3 Разрабатывающая протокол Near организация запустила два новых продукта, призванных предоставить разработчикам web3 более эффективные инструменты для разработки блокчейнов

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Лучшие инструменты с ChatGPT для ИБ-специалиста Любой инструмент можно использовать как во вред, так и во благо. Точно так же с нейросетью. Пока WormGPT помогает хакерам писать убедительные фишинговые письма на разных языках, ChatGPT уже давно и твёрдо стоит на страже кибербеза. Привет! Меня зовут Александр Быков, я ...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

Компания Nokia прекратила своё существование Сегодня компания HMD Global решила официально прекратить существование компании Nokia и удалить все упоминания о компании со своего веб-сайта. Теперь, когда Nokia больше не существует, HMD Global собирается начать работу над собственными смартфонами и мобильными телефонами —...

Японцы разработали способ продления срока службы аккумуляторов в 10 раз. Что это за технология? Японская компания Koike разработала монокристалл, который можно использовать в качестве электролита в батареях. Его сопротивление примерно на 90% меньше, чем у материалов на основе поликристаллов, используемыми в стандартных твердотельных аккумуляторах. По словам авторов ид...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Планы Samsung и ASML на $760 млн: превращение Южной Кореи в «полупроводниковую сверхдержаву» Samsung и ASML объединяют свои усилия в рамках инвестиций в размере 760 миллионов долларов в строительство передового завода по производству чипов в Южной Корее. Стратегический шаг, о котором было объявлено во время визита президента Южной Кореи Юн Сук Ёля в Нидерланды, напр...

Японские власти выделили $902 млрд на поддержку производства силовых полупроводниковых компонентов Его будут расширять компании ROHM и Toshiba.

Looking Glass Factory представила два новых дисплея на основе технологии пространственной голографии Компания Looking Glass Factory представила два новых пространственных дисплея – 16- и 32-дюймовые модели, которые открывают новые возможности для трехмерной визуализации без использования специальных гарнитур. Эти инновационные экраны предназначены для специалистов, таких ка...

Samsung и SK hynix добились от США бессрочного права поставлять в Китай оборудование для выпуска чипов Samsung Electronics и SK Hynix будет разрешено поставлять американское оборудование для выпуска чипов на свои китайские заводы без отдельных разрешений США, о чем сообщили в администрации президента Южной Кореи и в пресс-службах компаниях. Как пишет Reuters, ранее ...

SSD больше не будут перегреваться? В Китае разработан новый RISC-V-контроллер, устраняющий недостатки накопителей Китайские компании, работающие в отрасли разработки и производства электроники, активно развиваются. Одна из них — Yingren Technology, её команде удалось создать новый контроллер для современных твердотельных накопителей. Вроде бы ничего важного, но нет, как раз этот компоне...

Китайская компания Intellifusion представила 14-нм ИИ-процессор. Что это за чип и для чего он нужен? В КНР, как известно, сейчас есть сложности с закупкой ИИ-чипов Nvidia и AMD. Поэтому китайским организациям, которым нужны GPU для работы с искусственным интеллектом, приходится решать проблемы собственными силами. Есть разные способы, один из них на днях представила компан...

Microsoft собирается запретить «неавторизованные» аксессуары для Xbox: благие намерения или протекционизм? Совсем недавно мы писали о том, что «право на ремонт» шагает по миру, а многие корпорации поддерживают эту концепцию. Она даёт возможность покупателям электронных и бытовых устройств полностью владеть своими девайсами, а также ремонтировать их не по цене крыла от «Боинга». К...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Китай собирается массово выпускать человекоподобных роботов к 2025 году Китай планирует наладить массовый выпуск человекоподобных роботов уже к 2025 году, а в 2027 году выйти на передовой международный уровень в этой отрасли. Об этом сообщается в документе под названием «Руководящее заключение по инновациям и разработке человекоподобн...

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

[recovery mode] Где бесплатно учить Java в 2024 году В 2024 году изучение Java остается одним из актуальных направлений для тех, кто стремится стать профессиональным разработчиком.В настоящее время существует множество бесплатных ресурсов, которые предлагают уроки по Java, от курсов до университетских программ, что делает дост...

Astrobotic и Mission Control объединили усилия для создания полуавтономного лунохода Astrobotic, ведущая компания в области космической робототехники, объявила о сотрудничестве с канадской компанией по производству космического программного обеспечения Mission Control для создания вездехода, который будет отправлен на Луну в рамках предстоящей миссии As...

Китай создал систему тренировок для космических боев Китайские военные ученые обнародовали передовую игровую систему для космических войн, ранее скрывавшуюся от посторонних глаз. Отличаясь «удобным» дизайном, эта технология является не просто инструментом для военных операций, а полем боя для более чем 400 военных курсантов, к...

Япония сократит выпуск автомобилей в Китае для увеличения количества электрокаров Японские автомобилестроительные компании Nissan Motor и Honda Motor начали переговоры со своим китайскими партнёрами из-за намерений сократить производство автомобилей на заводах в КНР.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Новинка от UltiMaker: FDM 3D-принтер Factor 4 - новый стандарт промышленной 3D-печати Компания UltiMaker объявила о выпуске промышленного 3D-принтера UltiMaker Factor 4, призванного вывести производство на новый уровень эффективности и надежности. Factor 4 - это комплексное решение для 3D-печати в легкой промышленности.3D-принтер UltiMaker Factor 4 предназнач...

Apple лучше отключит функцию в своих умных часах, чем будет лицензировать чужую технологию? Компания не хочет вести переговоры с Masimo Компания Apple не собирается вести переговоры с Masimo, чтобы полюбовно решить вопрос нарушения патентов.  Напомним, в конце прошлого года суд постановил, что в умных часах Apple Watch Series 9 и Apple Watch Ultra 2 нарушены патенты компании Masimo, и продажи данн...

Оценка эффективности программ обучения В этой статье мы поговорим о том, почему оценка эффективности программ обучения является неотъемлемой частью образовательного процесса, какие методы и подходы можно использовать для оценки и какие инструменты могут помочь в этом нелегком деле.Статья адресована HR-специалиста...

Tsingke продемонстрировала передовые решения в области биопрепаратов на выставке Biologics 2024 В Лондоне завершилась выставка Biologics 2024, в которой приняли участие 650 фармацевтических, биотехнологических и академических представителей и более 50 экспонентов, участвующих в дискуссиях на высоком уровне о последних инновациях в области открытия и разработки биопрепа...

Как мы упростили внедрение роботов в банке Я роботизатор, который занимается автоматизацией рутинных задач в банке. Чтобы справиться с потоком таких задач, мы начали использовать технологию RPA (robotic process automation), которая имитирует действия человека на уровне пользовательского интерфейса. Внедрение RPA в...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Секреты Huawei и Kirin 9000s – как они достигли 7нм – что ... Новый флагманский чипсет Huawei Kirin 9000s, используемый в Mate 60 Pro, вызвал много вопросов, ведь компания не имела доступа к передовым технологиям из-за санкций США, однако они создали собственный 5G чипсет.

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Мощь Технологии в Ваших Руках: Услуги по Разработке Программного Обеспечения В современном мире, где технологии становятся движущей силой для различных сфер бизнеса и жизни, разработка программного обеспечения становится необходимостью, открывая новые горизонты для предприятий и индивидуальных пользователей. Услуги по разработке программного обеспече...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Университет штата Аризона и Deca Technologies создадут первый в Северной Америке центр RandD Университет штата Аризона (ASU) и компания Deca Technologies планируют создать первый в Северной Америке центр исследований и разработок в области передовой вентилируемой упаковки на уровне пластин (FOWLP)

Хочешь разрабатывать ПО для Vision Pro — покупай Mac на Apple Silicon. Компания подтвердила, что это важное условие для разработчиков Компания Apple подтвердила, что разработчикам, которые собираются создавать приложения для гарнитуры Vision Pro, нужно иметь Mac на основе процессоров Apple Silicon.  Первоначально такое требование значилось ещё в первой бета-версии VisionOS, но тогда было неясно,...

BMW и GM инвестировали в технологию 3D-печати с целью повышения эффективности производства Недавно GM объявила о приобретении компании TEI, одного из основных участников разработки технологии гигалитья, используемой Tesla, благодаря которой крупные структурные компоненты могут быть отлиты одним куском, а не собраны из сотен более мелких. Примерно в то же время ком...

Производителей ARM-процессоров становится больше: теперь и AMD с Nvidia. А вот у RISC-V проблемы ARM-архитектура год от года становится всё популярнее. Сейчас уже не только Apple, но и другие крупные компании используют её для разработки современных чипов для ноутбуков и ПК. На днях стало известно о том, что AMD и Nvidia независимо друг от друга занялись разработкой име...

iPhone 16 Pro Max получит передовой сенсор Sony Текущий флагманский смартфон iPhone 15 Pro Max сохранил тот же 48-мегапиксельный основной сенсор, который использовался в том числе в iPhone 14 Pro Max, но инженеры компании значительно его улучшил, чтобы новый флагман снимал лучше предыдущего. Теперь инсайдеры сообщают о то...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Смотрим на современный инструмент для FPGA Область разработки для ПЛИС, довольна консервативна и неповоротлива. Поскольку она узкоспециализирована, то новые инструменты и среды появляются редко, а старые инструменты имеют свои слабости в самой своей основе и перекладывать их на новые рельсы уже ни кто не будет. Посмо...

Huawei уже заменила компанию Nvidia в Китае: она поставляет ИИ-чипы для Baidu Китайская компания Baidu заказала чипы, предназначенные для использования с ИИ-приложениями, у Huawei, вместо традиционного американского поставщика Nvidia. Это решение было принято после введения США санкций, запрещающих американским компаниям поставлять подобные проду...

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

США лишат китайского производителя чипов CXMT доступа к своим технологиям Планируемые ограничительные меры США могут подорвать развитие полупроводниковой отрасли Китая. Компания CXMT - лишь первая мишень.

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

Китайские компании начали продавать гибридные видеокарты: десктопные устройства с ноутбучными чипами. Что происходит? За последние несколько месяцев Китай активизировал производство гибридных видеокарт. Как и указано в заголовке, графические адаптеры десктопные, но чип — ноутбучный. Что это за видеокарты такие и в чем их отличие от обычных? Подробности — под катом. Читать дальше →

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

Orange Pi OS: конкурента Rapsberry Pi OS теперь адаптируют для x86-систем и не только Несмотря на то, что операционная система Windows является самой популярной ОС для десктопов и ноутбуков, другие компании пытаются предлагать альтернативы. Насколько можно судить, как раз сейчас этим занимается компания Shenzhen Xunlong из Китая, которая разрабатывает однопл...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Chery расширяется: машины собираются выпускать не только в Италии, но в Испании Китайский автопроизводитель Chery стремится расширить свое присутствие на европейском рынке, о чем пишет Telegram-канал No Limits. Согласно появившимся данным, китайский автомобильный гигант планирует приобрести в Испании бывший завод Nissan, который прекратил свою деят...

Apple готовит ИИ для написания кода приложений Сегодня появилась информация о том, что компания Apple разрабатывает инструменты искусственного интеллекта для разработчиков, которые помогут им писать код для различных типов приложений в Xcode. Кроме того, компания также рассматривает возможность внедрения искусственного и...

Тайвань показывает зубы: производители чипов сталкиваются с ограничениями Тайвань усиливает защиту ключевых технологий страны. Так, правительство Тайваня обнародовало список из 22 технологий в пяти секторах производства (оборона, космос, сельское хозяйство, полупроводники и информационная безопасность ), которые будут дополнительно защищены от ут...

Как компании зарабатывают на опенсорсе, а потом выкидывают его Финансирование разработки Kubernetes крупнейшими спонсорами на GitHub за последние десять лет, источник Эти компании сначала при помощи сообщества разрабатывают опенсорсный софт или берут готовый, строят на нём прибыльный бизнес, зарабатывают миллионы. А потом меняют лиценз...

«Закон Мура 2.0». Intel обещает чип с триллионом транзисторов к 2030 году Intel стремится к 2030 году создать чип с триллионом транзисторов. В соответствии с законом Мура, который разработали Fairchild Semiconductor и Intel, чипы должны удваивать количество транзисторов каждый год. Но со временем ситуация ухудшилась, и темпы удвоения количест...

Это как будто бы Nvidia, AMD и Intel объединили DLSS, FSR и XeSS. Microsoft рассказала, что такое DirectSR, который будет интегрирован в Windows 11 Компания Microsoft рассказала, что такое DirectSR, о котором мы узнали буквально на днях.   Оказалось, что это не какая-то новая технология апскейла от самой Microsoft, а нечто даже более интересное.   Microsoft DirectSR представляет собой API, который позвол...

Как эффективно использовать Chrome DevTools для QA: подборка интересных возможностей Chrome DevTools — это консоль разработчика, набор инструментов в браузере для создания и отладки сайтов и приложений. С их помощью можно в том числе тестировать уже разработанную функциональность.В этой статье будет подборка полезных инструментов внутри DevTools, которые не...

Одно, чтоб править всеми: как мы сделали хаб для сопровождения СУБД Работа в СУБД — это множество разноплановых задач: создание новых продуктов, плановые обновления, работа с инцидентами. По мере цифровизации компании количество таких задач растёт, очередь запросов наполняется. Всё больше времени уходит не на решения, а на подготовку — сбор ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Стартап Kurs Orbital привлёк $4 млн для разработки новой технологии обслуживания спутников 7 марта стартап Kurs Orbital, занимающийся разработкой космических технологий для обслуживания спутников, объявил о получении начального финансирования в размере $4 000 000.  Основанная в 2021 году бывшим директором Украинского космического агентства Владимиром Усо...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

Ноутбук Qingyun L540 от Huawei с китайским процессором: что за девайс? Компания Huawei представила пользователям новый девайс — ноутбук Qingyun L540 с 5-нанометровым процессором Kirin 9006C. Устройство продолжает серию лэптопов L410, в которых ранее использовался восьмиядерный чипсет Kirin 990. Насколько можно судить, Huawei, несмотря на санкц...

Китайская электроника: в КНР постепенно переходят на собственное ПО и чипы. Что происходит? КНР уже давно реализует программу развития отрасли разработки и производства электроники в стране. Причём достаточно успешно — настолько, что сейчас в ряде государственных учреждений планируется переход с чипов AMD и Intel на китайские процессоры. Кроме того, собираются в Ки...

TOPDON представил тепловизионную камеру с регулируемым объективом   TOPDON, ведущий поставщик новейших технологий и передовых инструментов для занимающихся ремонтом автомобилей профессионалов и энтузиастов, представил тепловизионную технологию профессионального уровня, реализованную в новой камере TS001. Этот длиннофокусный тепловизор на осн...

Азиатские производители электроники нацелились на российский госсектор образования: Hisense планирует локализовать в РФ выпуск интерактивных панелей Hisense, известная своими телевизорами, планирует локализовать производство интерактивных панелей для образовательных учреждений в России. Этот шаг необходим для участия в государственных тендерах в рамках национального проекта «Образование», о чем пишет &la...

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Сбер поможет АвтоВАЗу выпустить новый автомобиль, в котором могут появиться ИИ-технологии и сервисы банка Сбербанк обсуждает возможность интеграции своих цифровых сервисов в мультимедийную систему автомобилей Lada, о чем сообщила пресс-служба банка. Сбербанк и АвтоВАЗ уже обсудили расширение сотрудничества в сфере цифровизации производства. В рамках этого партнерства рассма...

Nvidia решила сама взяться за популяризацию игровых ПК формата SFF. Компания запустила целую инициативу по этому поводу Компания Nvidia решила, что на рынке мало по-настоящему компактных и по-настоящему игровых ПК, поэтому вместе с партнёрами запустила инициативу SFF Enthusiast GeForce, в рамках которой на рынке появятся новые продукты для создания ПК класса SFF.  фото: Nvidia Речь...

Японская Rapidus получит $3,9 млрд субсидий на закупку оборудования И разработку передовых технологий упаковки чипов.

Проект сборки и производства российских автомобилей Lada в Эфиопии находится в стадии проработки В Эфиопии готовятся организовать выпуск автомобилей Lada, соответствующий проект сборки прорабатывается. об этом рассказал посол РФ в Аддис-Абебе Евгений Терехин. Он отметил, что машины Lada хорошо зарекомендовали себя в Эфиопии, доказав свою надежность и неприхотливост...

Материнские платы MSI теперь поддерживают до 256 ГБ оперативной памяти Сегодня компания MSI стала ещё одним производителем материнских плат, представившим поддержку оперативной памяти DDR5 объёмом до 256 ГБ на своих материнских платах с чипсетами серии Intel 700 и 600. Собственно, в прошлом году крупные производители памяти представили множеств...

Oppo заключила с Nokia партнёрское соглашение Компании Oppo и Nokia находятся в состоянии крайней напряжённости в течение некоторого времени — в рамках различного рода споров и судебных исков возникли обстоятельства, которые привели к тому, что компания BBK Electronics приостановила продажу устройств OnePlus и Oppo в Ге...

Samsung не знает, как её чипы оказались в смартфона Huawei: «Компания соблюдает экспортные правила США и не поддерживает отношения с Huawei» Samsung Electronics заявила, что не торговала с Huawei после санкций США в 2020 году после сообщения о том, что некоторые из ее чипов используются в новых смартфонах, продаваемых китайским производителем. «Samsung соблюдает экспортные правила, установленные правит...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Amazon направит миллиарды на ускорение разработки ИИ-решений Anthropic Amazon вкладывает до $4 млрд в Anthropic для ускорения разработки ИИ-инструментов и обеспечения клиентов AWS доступом к передовым ИИ-решениям

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Библиотека контролов для Avalonia UI Последний год наша команда работала над кроссплатформенной версией САПР Delta Design. В результате этой работы была создана своя библиотека UI контролов для Avalonia, которая поддерживает как ОС Windows, так и ряд систем Linux, включая российские АстраЛинукс, РедОс, а также ...

Оборонные компании Европы разрабатывают лазерное оружие второго поколения на основе DragonFire Ведущие оборонные компании Европы, включая MBDA, Leonardo и QinetiQ, работают над проектами "второго поколения" лазерных систем вооружений, основанных на технологиях прототипа DragonFire. Эти передовые лазерные системы смогут использоваться как на море, так и на суше, открыв...

Кейс: использование 3D-принтера Volgobot А4 PRO в НПК «ГЕРМЕТИКА» Volgobot продолжает активно сотрудничать с различными организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов — НПК «ГЕРМЕТИКА».Давайте познакомимся с деятельностью предприятия ближе.НПК «ГЕРМЕТИКА» — машиностроительная научно-производстве...

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

GitHub Copilot в JetBrains IDEs в РФ В 2022 году в публичный доступ вышел GitHub Copilot – довольно удобный современный инструмент для разработчиков. В этой короткой статье поделюсь своим опытом взаимодействия с GitHub Copilot, а главное тем, как его использовать в IDE от JetBrains. Приятного чтения! Читать дал...

Китайские инструменты для обхода блокировок исчезают с GitHub Более 20 инструментов, предназначенных для обхода «Великого китайского файрвола» и других инструментов для блокировок, были удалены с GitHub в этом месяце. Многие полагают, что китайскому правительству удалось деанонимизировать разработчиков этих утилит и оказать на них дав...

В США обеспокоились вмешательством хакеров в выборы президента с помощью ChatGPT Исследователи кибербезопасности из компании CrowdStrike сообщили, что в 2024 году хакеры и «хактивисты» разных специализаций начнут активно разрабатывать свои инструменты для влияния на выборы и другие политические процессы.

Источник: VK, Сбер и Яндекс создадут каждый свою мобильную ОС Издание «Коммерсантъ» со ссылкой на источник на IT-рынке сообщило, что VK, Сберу и Яндексу не удалось договориться о совместной разработке российской мобильной операционной системы на базе Android. О создании консорциума по созданию такой ОС по инициативе Ми...

Современный С++ в разработке девайсов Привет, Хабр.Меня зовут Андрей Белобров. Я тимлид одной из команд, разрабатывающих приложения для умных девайсов Сбера.На прошедшей недавно конференции Салют, OS DevConf! я выступил с докладом, в котором рассказал, как мы с командой разрабатываем приложения на С++ для умных ...

Samsung в 2024 году начнёт разрабатывать продукты на основе ИИ С 2024 года компания Samsung начнёт всё более полагаться на технологии ИИ для разработки своих продуктов. По инсайдерской информации, впервые об этом компания заявит на презентации смартфона Galaxy S24 17 января.

Google расширяет линейку моделей искусственного интеллекта Gemma Компания Google представила два новых варианта моделей ИИ Gemma - CodeGemma для генерации кода и RecurrentGemma для анализа данных, расширяя доступность передовых инструментов ИИ для разработчиков и исследователей.

Китайская Loongson выпустит игровую видеокарту – 9A1000 сравнится по скорости с Radeon RX 550 Пока уровень технологий не позволяет китайской компании догнать новые разработки американских полупроводниковых гигантов

TOPDON представил тепловизионную камеру с регулируемым объективом   TOPDON, ведущий поставщик новейших технологий и передовых инструментов для занимающихся ремонтом автомобилей профессионалов и энтузиастов, представил тепловизионную технологию профессионального уровня, реализованную в новой камере TS001. Этот длиннофокусный тепловизор на осн...

Adobe интегрирует генеративный ИИ в Premiere Pro Adobe объявляет о внедрении передовых инструментов генеративного искусственного интеллекта в Adobe Premiere Pro, позволяющих с легкостью редактировать видео, добавлять и удалять объекты, а также расширять клипы, что значительно ускорит и упростит процесс постпродакшна.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

Еще больше для кастомизации вашего Samsung! Компания ... Samsung анонсировал Good Lock 6.0, поставляемый с полностью переработанными модулями. Samsung Good Lock поставляется с полным набором функциональных возможностей и инструментов для смартфонов Galaxy и других устройств.

Как избежать взлома через QR-код В современном мире QR-коды стали повсеместным инструментом для быстрого доступа к информации и услугам. Однако, как и любая технология, QR-коды могут быть использованы злоумышленниками для взлома и кражи данных. Вот несколько советов, как защитить себя от подобных атак.

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

Nvidia выпустила три новых видеокарты и заявила о лидерстве в гонке систем AI PC. Что это за адаптеры? Nvidia представила новые графические адаптеры в рамках стратегии развития AI PC. Три новые модели выпущены в рамках линейки GeForce RTX™ 40 SUPER Series, это GeForce RTX 4080 SUPER, GeForce RTX 4070 Ti SUPER и GeForce RTX 4070 SUPER. 8 декабря на выставке CES в Лас-Ве...

Новый передовой дрон TEKEVER ARX может управлять целым роем разведывательных беспилотников Компания TEKEVER, лидер европейского рынка БПЛА, представила передовой беспилотник ARX, способный развертывать и координировать целый рой небольших дронов. Благодаря встроенным ИИ/ML-технологиям и модульной конструкции, новый БПЛА значительно расширит возможности наблюдения ...

Выбираем инструмент проектирования интерфейсов для аналитика Всем привет! Меня зовут Бургомистренко Кристина и я — системный аналитик в компании заказной разработки Rubius. В этой статье я рассказываю про свой опыт проектирования и сравниваю несколько популярных инструментов для создания вайрфреймов, которые м...

Что нам стоит код написать: 5 нейросетей, которые помогают разработчикам программировать В ближайшем обозримом будущем ИИ будет помогать человеку во всё большем количестве отраслей. Включая, конечно, и разработку. Как известно, уже сейчас есть нейросети, которые способны оказывать неплохую помощь программистам. В этой подборке расскажем о пяти таких нейронках. С...

Первая китайская SoC автомобильного класса Geely Dragon Eagle-1 установлена на более чем 200 000 автомобилей 25 декабря компания SiEngine объявила, что ее 7-нанометровый чип автомобильного класса Dragon Eagle-1 теперь установлен на более чем 200 000 транспортных средствах с момента первого выпуска чипа в декабре 2021 года. На данный момент Dragon Eagle-1 можно найти в автомоби...

ЕС угрожает Китаю жесткими экономическими мерами, если Поднебесная добровольно не сократит поставки дешёвых машин и стали в Европу Европейский Союз оказался перед лицом наводнения своего рынка дешевыми электромобилями и сталелитейной продукцией из Китая. Глава Еврокомиссии Урсула фон дер Ляйен после трехсторонней встречи с председателем КНР Си Цзиньпином и президентом Франции Эмманюэлем Макроном за...

Опыт использования свободного ПО OSS-CAD_SUITE для программирования FPGA Gowin Для программирования FPGA нужна среда проектирования. Например, при использовании микросхем ПЛИС Altera/Intel мы используем САПР Intel Quartus Prime Software. Возможно, основная ценность технологии ПЛИС даже не сами микросхемы, а программное обеспечение, которое позволяет ра...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

DNP разрабатывает процесс изготовления фотомасок для 3-нм EUV-литографии Компания Dai Nippon Printing Co. (DNP) успешно разработала процесс производства фотомасок, способных работать с 3-нанометровым (10-9 м) литографическим процессом, который поддерживает экстремальную ультрафиолетовую (EUV) литографию - передовой процесс производства полупровод...

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

Бывший исследователь НАСА разрабатывает супербатарею с использованием технологий марсохода Бывший исследователь НАСА, который помогал разрабатывать устройство Moxie для марсохода, использует эту технологию для разработки батареи. Устройство предназначено для временного хранения возобновляемых источников энергии

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Смартфоны HUAWEI Pura 70 на 90% из китайских компонентов Японская компания Formalhaut разобрала и исследовала компоненты китайских смартфонов Pura 70, выяснив, что более 90% из них локального производства, кроме некоторых исключений во флагманской модели Ultra. Читать полную статью

Китайский процессор Loongson 3A6000 уже работает в мини-ПК. Возможности и характеристики модели Morefine M700S Китайцы за последние несколько лет сильно продвинулись в разработке чипов, включая современные процессоры. Один из них — Loongson 3A6000, по производительности он примерно равен Intel Core i3-10100F. Но речь сегодня не столько о чипе, сколько об устройстве, в котором он раб...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

МТС выпустит свой корпоративный мессенджер в следующем году Вице-президент по корпоративному и новому бизнесу российского оператора МТС Олег Алдошин в ходе пресс-конференции сообщил, что в рамках пилотного проекта компания сейчас тестирует корпоративный мессенджер «Линк чаты». По его словам, в настоящее время несколь...

Huawei выпустит первый смартфон с тремя экранами уже в текущем квартале Государственное ведомство интеллектуальной собственности Китая объявило о новом патенте Huawei под названием «Устройство складного экрана». Как пишет mydrivers, компания Huawei ведет разработку нового «мобильного телефона с тройным экраном». Ожид...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Япония ужесточит контроль экспорта полупроводников, Китай грозит принять ответные меры Правительство Японии заявило о планах ужесточить контроль за экспортом полупроводников, оборудования и передовых материалов. Перед экспортом оборудования и материалов, которые могут быть использованы в военных целях, компании должны уведомлять регулятора. Китай уже выра...

Google и Microsoft объединились для развития чистой электроэнергии Корпорации Nucor Corporation, Google и Microsoft Corporation объявили о сотрудничестве в рамках энергетической экосистемы для разработки новых бизнес-моделей и агрегации спроса на передовые технологии чистой энергии. Основное внимание будет уделено развитию первых коммерческ...

Китай получает доступ к передовым чипам Nvidia через серверы Dell и Supermicro В самих же компаниях не усматривают в своих действиях каких-либо нарушений санкций США

Российские разработчики озвучили робота для фильма с помощью ИИ Российская группа компаний ЦРТ использовала передовые разработки в области нейросетей и искусственного интеллекта для создания голоса робота Вертера в фильме «Сто лет тому вперед». Режиссер и автор сценария Александр Андрющенко подчеркнул, что желал познакомить новое поколен...

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

На Geely уже устанавливают белорусские аккумуляторы, шины, стекла. Готовятся начать выпуск пластиковых деталей экстерьера и интерьера Белорусско-китайский автозавод «БелДжи» планирует открыть на своих площадях под Жодино участок по изготовлению пластиковых деталей экстерьера и интерьера для автомобилей Geely, о чем сообщил заместитель гендиректора компании Андрей Полегошко. У нас было и б...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Генеративный ИИ назвали новейшим инструментом в руках диктаторов Политические лидеры как минимум 16 стран, включая США, использовали технологию дипфейков для манипулирования общественным мнением, говорится в докладе организации Freedom House. В докладе рассказывается о том, как инструменты искусственного интеллекта используются во всем ми...

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Объявлены финалисты конкурса «Европейский автомобиль года 2024». Победителем реально может стать китайская машина Два китайских автомобиля были выбраны финалистами конкурса «Европейский автомобиль года 2024». Это BYD Seal и Volvo EX30. Они будут бороться за победный титул вместе с пятью другими автомобилями. Победитель будет объявлен на Женевском автосалоне 26 февраля. ...

Dell, IBM и Google заставили сотрудников вернуться в офисы: что в итоге? В последние пару лет различные компании стали активно возвращать сотрудников, работавших во время пандемии на удаленке, в офисы. Зачем? Некоторые организации считают, что офисная работа увеличивает производительность как отдельных людей, так и всего коллектива в целом. Но к...

Samsung создаёт память UFS 4.0 для работы с ИИ на смартфоне Западные информационные издания сообщают, что компания Samsung довольно усердно работает над новой версией памяти UFS 4.0, оптимизированной для операций в области искусственного интеллекта, хотя подробности пока что никто не может раскрыть по вполне понятным причинам. Корейс...

Microsoft удалила WordPad, несмотря на сопротивление пользователей, и активно совершенствует Блокнот. Word не нужен? Корпорация Microsoft в последнее время занимается изменением существующих и добавлением новых возможностей в свой офисный пакет Microsoft Office. Недавно на Хабре сообщалось о том, что корпорация передумала полностью уходить в облака в плане Office, вместо этого предложив о...

В браузере Apple Safari мог бы по умолчанию использоваться поисковый сервис DuckDuckGo. Компания рассматривала такой вариант для приватного режима Недавно мы узнали, что Apple могла купить Bing и вела переговоры в Microsoft по этому поводу ещё в 2020 году. Оказалось, Apple засматривалась и на поисковый сервис DuckDuckGo.  Правда, почему-то Apple рассматривала не возможность купить DuckDuckGo, а лишь возможно...

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

Samsung готовит новое поколение памяти LPDDR5x для автомобилей Сегодня южнокорейская компания Samsung официально объявила о планах по внедрению памяти новых форматов LPDDR5x, GDDR7 и AutoSSD (твердотельная память специально для автомобильного рынка) в автомобильной промышленности в самом ближайшем будущем. В рамках форума об инновационн...

В России начали производство новых карьерных самосвалов с патентами IVECO В России появился новый производитель карьерных самосвалов, который ранее назывался IVECO AMT. Это предприятие, теперь известное как Челябинская АМТ N.V., завершило производство партии грузовиков, предназначенных для перевозки гранита. Завод стал новым производителем к...

Костромская компания использует 3D-печать в судостроении Научно-производственное объединение «Глубокие озера» применяет аддитивные технологии в производстве речных транспортных средств. В течение года компания собирается приступить к выпуску хаусботов для путешествий по рекам.

Машины ASML High-NA EUV Twinscan EXE стоят $380 миллионов, и уже забронировано 10-20 единиц ASML сообщила, что ее передовые инструменты для производства чипов с высоким содержанием NA в ультрафиолетовом диапазоне (EUV), известные как Twinscan EXE с высоким содержанием NA, будут стоить около $380 миллионов каждая

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Android Work Profile: как безопасно поделить свой смартфон между домом и работой Для тех, кто работает удаленно, провести грань между решаемыми задачами и свободным временем может быть непросто. Но я сейчас буду говорить не про work-life balance, а про Android Work Profile — технологию для управления корпоративными устройствами, которая создает разгранич...

Запрет США на поставки ускорителей Nvidia открывает огромные возможности для Huawei Меры США по ограничению экспорта передовых чипов с технологиями искусственного интеллекта (ИИ) в Китай могут открыть перед Huawei огромные возможности по импортозамещению на внутреннем рынке, о чем пишет Reuters. В то время как Nvidia исторически была ведущим поставщико...

Toyota готовит мини-Land Cruiser и соверешенно новый внедорожник 340D Toyota начала разработку нового внедорожника для индийского рынка, который будет выпущен в начале 2026 года и станет ключевым продуктом для нового завода, о чем сообщает Reuters со ссылкой на осведомленных информаторов. Новый внедорожник C-сегмента под кодовым названием...

Книга «Разработка приложений на базе GPT-4 и ChatGPT» Привет, Хаброжители! Эта небольшая книга представляет собой подробное руководство для разработчиков на Python, желающих научиться создавать приложения с использованием больших языковых моделей. Авторы расскажут об основных возможностях и преимуществах GPT-4 и ChatGPT, а та...

Intel и UMC объявили о сотрудничестве в рамках разработки 12-нм чипа Intel и United Microelectronics Corporation (UMC) объявили о совместном сотрудничестве в разработке 12-нм техпроцесса. Это партнерство будет использовать крупномасштабные производственные мощности в США и опыт в производстве полупроводниковых пластин.

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

Компания Lenovo представила новый суперкомпьютер MareNostrum 5 MareNostrum 5 обладает исключительной производительностью и использует передовую технологию прямого водяного охлаждения Neptune от Lenovo

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Tether представила инструмент для восстановления доступа к активам Компания Tether — эмитент стейблкоина USDT — сообщила о запуске инструмента восстановления активов

Обзор K8s LAN Party —  сборника задач по поиску уязвимостей в кластере Kubernetes прямо в браузере Я продолжаю тестировать инструменты, которые помогают научиться защищать кластеры Kubernetes. На этот раз взглянем на продукт от разработчиков из компании Wiz Research — Kubernetes LAN Party, челлендж по выполнению CTF-сценариев. Выход инструмента был приурочен к прошедшей в...

Microsoft хочет избавиться от зависимости от Nvidia. Компания разрабатывает собственный сетевой адаптер для серверов Компания Microsoft, как и многие другие сейчас, сильно зависит от ускорителей Nvidia для ИИ. Но в другом направлении Microsoft собирается избавиться от аналогичной зависимости. Как сообщается, компания разрабатывает собственный сетевой адаптер, чтобы не закупать у Nvidi...

Китайский процессор Loongson 3A6000: производительность оставляет желать лучшего, но перспективы — отличные Да, звучит немного странно, но дело обстоит именно таким образом. Стоит напомнить, что чип действительно китайский. В 2021 году компания Loongson Technology практически с нуля разработала архитектуру, получившую название Loongson Architecture или LoongArch. Разработчики Loo...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Microsoft объявила о глобальном расширении Copilot Pro и предлагает бесплатную пробную версию Microsoft объявляет о глобальном расширении своего передового продукта Copilot Pro, предлагая новым пользователям бесплатную одномесячную пробную версию. Инициатива направлена на демократизацию доступа к инновационным технологиям искусственного интеллекта, подчеркивая роль к...

Утечка документов компании I-Soon раскрыла китайские шпионские инструменты и цели Из-за утечки стали общественным достоянием методы китайской разведки. Утечка раскрыла китайские шпионские инструменты и цели.

Выход за границы крупногабаритной печати: Eplus3D представляет EP-M2050 с 36 лазерами! Широкоформатная мультилазерная система аддитивного производства металлических деталей EP-M2050 обладает 36 лазерами и задает новые стандарты производительности, универсальности и эффективности. Это оборудование, основанное на передовых технологиях, позволяет изготавливать ра...

Япония и США займутся совместной разработкой передового ИИ Премьер-министр Японии Фумио Кисида и президент США Джо Байден на саммите в Вашингтоне, который состоится 10 апреля, объявят о совместной работе над передовыми технологиями в области искусственного интеллекта (ИИ) и полупроводников.

DivKit теперь и для Flutter. Рассказываем об особенностях BDUI-фреймворка Яндекса Полтора года назад мы выпустили в опенсорс DivKit — фреймворк для отрисовки интерфейсов из ответа сервера. На тот момент он уже прошёл проверку временем внутри компании и применялся в приложении Яндекс, Алисе, Маркете, Едадиле и других сервисах. С тех пор инструмент прошёл д...

Дополненная реальность на производстве: как МТС и компания «Дайгер» ПО для промышленных AR-очков создавали Привет, Хабр! В сегодняшней статье расскажем о том, как команда МТС совместно с компанией «Дайгер» под руководством её технического директора Сергея Головина разработали специализированное ПО для устройств дополненной реальности, используемых на промышленных объектах. В «Дай...

Японцы вкладывают огромные средства, чтобы доминировать на рынке чипов. Renesas покупает Altium почти за $6 млрд Японский производитель полупроводников Renesas Electronics заявил, что покупает зарегистрированную в Сиднее компанию по разработке программного обеспечения Altium за 9,1 миллиарда австралийских долларов (5,89 миллиарда долларов) наличными, поскольку компания стремится о...

Чтобы Китаю вообще ничего не досталось. США собираются запретить поставки в Поднебесную ускорителей Nvidia, созданных специально для Китая В своё время США ограничили поставки новейших ускорителей Nvidia в Китай, из-за чего Nvidia создала специальные урезанные версии: A800 и H800. И вот теперь США взялись и за эти модели.  Министерство торговли США объявило, что планирует ограничить продажу Китаю и э...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

США призвала прочие страны усилить давление на Китай Похоже, противостояние США и Китая накаляется! Вашингтон активно призывает своих союзников, включая Нидерланды, Японию, Германию и Южную Корею, усилить ограничения на доступ Китая к передовым полупроводниковым технологиям.

Apple запатентовала более прочные складные экраны Если верить слухам, то компания Apple уже не первый год думает над выпуском складного мобильного устройства. Однако купертиновцев останавливает отсутствие нужных компонентов. Больше всего нареканий со стороны компании вызывают складные экранные панели. По этой причине инжене...

Каждый запрос в ChatGPT обходится OpenAI в 4 цента. Компания думает выпуске собственных ИИ-чипов Использование ChatGPT обходится компании OpenAI очень дорого. Согласно данным аналитика Bernstein Стейси Расгон, каждый запрос стоит примерно 4 цента. Поэтому компания OpenAI изучает возможность создания собственных чипов искусственного интеллекта, о чем пишет ...

Глава Arm не уверен, что США удастся полностью лишить китайские компании доступа к производительным чипам Всё, что сейчас делается — это полумеры.

Авторизация и управление доступом на основе ролей для фронтенда В этом модуле проекта мы погрузимся в волнующий мир авторизации и управления доступом во фронтенд-разработке. Сегодня я поделюсь с вами моим опытом работы с технологиями Vue 3, Pinia для глобального управления состоянием и TypeScript. Однако, стоит отметить, что основные при...

Продажу хакерского инструмента Flipper Zero, который используют для угона машин, открытия гаражных ворот и других целей, запрещают в Канаде Правительство Канады запрещает продажу хакерского инструмента Flipper Zero и подобных устройств, поскольку они могут использоваться для угона автомобилей. Flipper Zero — это портативный программируемый инструмент тестирования, который помогает экспериментировать и...

Гигант стейблкоинов Tether ставит на будущее нейротехнологий и вкладывает $200 млн в стартап, занимающийся нейроинтерфейсами Tether Holdings Ltd., эмитент крупнейшего стейблкоина USDT, объявила о масштабной инвестиции в размере $200 миллионов в Blackrock Neurotech, компанию из Солт-Лейк-Сити, специализирующуюся на разработке передовой технологии интерфейса «мозг-компьютер». Инвест...

Xiaomi готовит новую мобильную ОС MiOS Начиная с 2010 года компания Xiaomi выпускала свои передовые смартфона на прошивке MIUI для операционной системы Android, и это стало настолько популярным явлением, что сейчас прошивкой пользуются миллионы пользователей по всему миру. Если говорить точнее, в настоящее время ...

Samsung улучшит Bixby за счёт ИИ Сегодня появилась информация, указывающая на то, что компания Samsung не готова отказываться от своего голосового помощника Bixby. Компания планирует внедрить функции генеративного искусственного интеллекта в свой инструмент и в отличие от других слухов, которые сейчас доста...

be quiet! представила передовую СЖО Pure Loop 2 Вероятно, все современные пользователи, которые интересуются компьютерными комплектующими слышали про компанию be quiet! — это немецкий производитель компьютерных компонентов премиального уровня, который делает, пожалуй, лучшие продукты в мире. И теперь компания официально с...

Япония движется в авангарде полупроводниковых технологий — Токио нацелен преодолеть рубеж в 1 нм Амбициозная "дорожная карта" Токио по производству передовых микросхем нацелена на преодоление рубежа в 1 нанометр

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Китай не принимает платежи из России. Где заказать печатные платы в Китае в 2024 году Полгода назад я писал, как решал проблему с ушедшими JLCPCB. И вот с марта возникла новая проблема ахахах (истерический смех) —  китайские банки начали блокировать оплату от российских компаний за компоненты для сборки электроники. Оплаты не проходят даже у тех компаний...

Qualcomm Snapdragon 8 Gen 4 засветился в AnTuTu Qualcomm готовит к выпуску свой новый процессор Snapdragon 8 Gen 4. Появившаяся в сети информация пролила свет на ход разработки этого передового чипсета, раскрывая интригующие подробности о его производительности и функциях. Ранее сообщалось, что Snapdragon 8 Gen 4…

Глава Nvidia Дженсен Хуанг впервые за четыре года посетил Китай и станцевал на мероприятии компании Череда новых санкций США относительно Китая привела к тому, что Nvidia сейчас фактически не может поставлять в Поднебесную никаких современных ускорителей для ИИ. Само собой, для компании это нельзя назвать хорошим вариантом развития событий, и на этом фоне глава Nvidia...

Сильно похудевший Гейб Ньюэлл работает над Starfish — имплантами с интерфейсом «мозг-компьютер» Гейб Ньюэлл, известный как основатель и генеральный директор Valve, погрузился в новую область разработки мозговых имплантов для геймеров. Его новый проект под названием Starfish уже объединил команду ученых, инженеров и нейроинженеров для работы над инновационными игр...

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

ФАС России потребовала объяснений от Apple: почему в iOS запрещены все платёжные системы, кроме Apple Pay? Федеральная антимонопольная служба (ФАС России) направила компании Apple письмо о необходимости представить разъяснения по поводу её политики в отношении бесконтактных платёжных систем в системе iOS.  Фото: naipo.de / Unsplash В пресс-службе рассказали: Сейчас рос...

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

Huawei Pura 70 на 90% состоится из китайских деталей. Huawei движется к 100% Новый флагман Huawei, серия Pura 70, получил более 90% компонентов китайского производства, за исключением процессора и основной камеры самой дорогой модели. Разборка, проведенная японской исследовательской фирмой Fomalhaut Techno Solutions, показывает, что китайские по...

«Это огромная, огромная проблема», — по словам главы OpenAI Сэма Альтмана, люди не осознают, что ИИ действительно скоро приведет к массовым сокращениям Когда Сэма Альтмана, основателя OpenAI и одного из создателей ChatGPT, спросили, что он думает о том, как дезинформация, создаваемая искусственным интеллектом (ИИ), может повлиять на выборы, он поделился своими переживания по поводу того, как ИИ станет реальной про...

США выделят миллиарды на производство передовых полупроводников, Intel, Samsung и TSMC Ожидается, что администрация президента Джо Байдена в ближайшие недели выделит миллиарды долларов в виде субсидий ведущим полупроводниковым компаниям, включая Intel, чтобы помочь построить новые заводы в США. Это должно дать толчок производству передовых полупроводников...

OPPO Find X7 Ultra не выйдет в Европе Компания Oppo объявила на выставке MWC 2024, что её новые смартфоны вернутся на европейский рынок после конфликта с Nokia. Китайский производитель заключил соответствующее соглашение с испанской компанией Telefonica. Что касается конкретных смартфонов, то представители компа...

Виртуальная реальность из 90-х возвращается: появился эмулятор Virtual Boy для Nintendo 3DS. Как игры выглядят сейчас? В 1993 году компания Nintendo начала разработку устройства виртуальной реальности, которое позже получило название Virtual Boy. Планировалась полноценная портативная VR-система, которая позволяет отслеживать движение головы игрока. Да и игр собирались сделать немало. К сожал...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

[recovery mode] Где учить Frontend бесплатно в 2024 году В 2024 году изучать frontend по-прежнему актуально. Вот несколько причин, почему это может быть полезным:1. Развитие технологий: Веб-технологии постоянно развиваются, и в 2024 году можно ожидать еще большего прогресса. Изучения frontend поможет быть в курсе последних те...

TSMC запустит 6-нм полупроводниковый завод в Японии По имеющимся данным, Taiwan Semiconductor Manufacturing Company (TSMC) планирует к 2027 году начать производство 6-нанометровых полупроводников на своем втором заводе в Кумамото (Япония). Для поддержки этого предприятия японское правительство рассматривает возможность предос...

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

В США начали избавляться от китайских аккумуляторов, предназначенных для морпехов США продолжают выдавливать Китай с внутреннего рынка. На этот раз на уровне Конгресса началось давление на американскую энергетическую компанию Duke Energy, которая поставляет различным федеральным структурам аккумуляторы китайской компании CATL.

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Palantir и Voyager Space объединяют усилия для применения искусственного интеллекта в космосе в новом проекте Starlab Американская компания-единорог Palantir, специализирующаяся в области аналитики данных и искусственного интеллекта, продолжает углублять своё присутствие в космической сфере. Она объявила о стратегическом сотрудничестве с компанией Voyager Space для изучения потенциала ...

Синкерим, хешайдим, терминируем: 6 утилит, чтобы ускорить ваши локализации Наша команда Mobile Doc&Loc «Лаборатории Касперского» занимается подготовкой документации и локализации B2C-продуктов компании для мобильных устройств. Основная сложность (и одновременно фишка) нашей работы заключается в том, что необходимо регулярно подготавливать перев...

Передовой ИИ без регистрации и SMS. OpenAI открыла ChatGPT всем желающим (но пока только в США) OpenAI с 1 апреля открыла доступ к ИИ ChatGPT 3.5 без регистрации. Пока эта возможность работает только для пользователей из США, но в компании отмечают, что открывают доступ без регистрации постепенно, «чтобы сделать ИИ доступным для всех, кому интересны его...

Китайские компании при поддержке правительства начнут выпускать чипы памяти HBM к 2026 году Группа китайских компаний при поддержке государства разрабатывает альтернативу графическим чипам Nvidia для применений в ИИ.

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

Как вносить вклад в Open Source — и не только кодом. Инструкции от Linux Foundation, CNCF и Free Software Foundation Мы во «Фланте» любим Open Source — причем не только пишем свои открытые инструменты (werf, shell-operator, grafana-statusmap, сommunity-версия Deckhouse), но и активно участвуем в разработке различных проектов — участвуем в KEP’ах Kubernetes, в составе небольшой группы разра...

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

[Перевод] Научные события, за которыми стоит следить в 2024 году Передовые инструменты искусственного интеллекта, полеты на Луну и сверхбыстрые суперкомпьютеры - вот те события, которые определят ход исследований в наступающем году. Читать далее

Суперкомпьютер Fugaku объединяется с квантовой системой IBM для будущих вычислений Японский суперкомпьютер Fugaku на базе процессоров Arm объединится с новейшей квантовой системой IBM в рамках проекта по исследованию и разработке будущих вычислительных систем. Об этом объявила компания IBM, сообщив о соглашении с японским государственным научно-исслед...

США потребовали от компании ASML Holding NV отменить отправку своего оборудования в Китай Администрация Байдена стремится изолировать Пекин от передовых технологий

Популярные клавиатуры и мышки Microsoft всё-таки останутся на рынке, но под другим брендом В апреле компания Microsoft объявила о том, что больше не будет выпускать периферию под своим брендом, а заменит его на бренд Surface. При этом линейка продуктов также изменится. Оказалось, что для поклонников старых моделей клавиатур и мышек именно от Microsoft не всё ...

Сбор обратной связи от сотрудников Обратная связь от сотрудников является неотъемлемой частью успешного управления и развития любой организации. Она служит ключевым инструментом для определения областей для улучшения, а также способствует формированию культуры открытости и вовлеченности. В современном мире, г...

Ничего личного, просто бизнес. Китай наращивает закупки литографов, а ASML гарантирует поставку иммерсионных DUV и более передовых систем несмотря на санкции США Ассоциация полупроводниковой промышленности (Semiconductor Industry Association, SIA) опубликовала очередной отчет о мировых продажах оборудования для печати полупроводниковых систем в августе текущего года. Как отмечается, продажи достигли отметки в 44 миллиарда д...

Honor Magic6 RSR Porsche Design: эксклюзивный флагман с топовыми характеристиками. Honor анонсирует выпуск нового флагманского смартфона Honor Magic6 RSR Porsche Design, с официальной премьерой, запланированной на 18 марта. Устройство обещает сочетать в себе передовые технологии и эксклюзивный дизайн.

Где работать в IT в 2023: Банки.ру Наша рубрика «Где работать в IT» — это интервью с интересными IT-компаниями, в которых они делятся подробностями о процессах своей работы. Представители индустрии отвечают на вопросы о найме, условиях, командах и технологиях.В этом выпуске мы расскажем о Банки.ру — самом бол...

Техасская компания Iozera.ai объявила о строительстве масштабного дата-центра мощностью 386 МВт Техасская компания Iozera.ai начинает строительство дата-центра мощностью 386 МВт и инновационного хаба по искусственному интеллекту в Марокко. Проект Eureka Park, оценённый в $500 млн, предусматривает расширение доступа к передовым ИИ-технологиям для учёных, стартапов и пре...

Nintendo создаёт свой собственный стриминговый сервис Nintendo планирует расширить своё влияние за пределами рынка видеоигр в ближайшем будущем — некоторые инсайдеры предполагают, что японская компания работает над собственным мультимедийным потоковым сервисом. Ранее инсайдеры сообщали о поданной заявке на патент, который Ninte...

Туннелирование трафика через RDP-соединение Всем привет, в этой статье пойдет речь о способе туннелирования трафика через RDP-соединение. Такой способ может подойти для тех случаев, когда мы нашли машину с доступом в новые сегменты сети, к которой есть возможность подключиться по RDP, но мы не можем подключиться к сер...

Победителями нового направления соревнований в IT-чемпионате стали тюменские студенты Студенты города Тюмени стали победителями нового направления соревнований в IT-чемпионате нефтяной отрасли. Помимо направления «Разработка MVP», состязания по табличному редактору «Р7-Офис» были организованы на турнире впервые. Участниками состоявшегося в Москве в рамках Мол...

Индонезия запустила серийное производство новейшего танка Tiger Данная техника стала первым современным средним танком, имеющим передовые технологии

Китайская SMIC неожиданно для всех занялась освоением 3-нм техпроцесса. Откуда такие возможности? О том, что Китай, несмотря на все сложности, не только держится на плаву в плане разработки и производства электроники, но и делает определенные успехи, мы уже писали. У некоторых китайских компаний достижения даже значительнее, чем можно было бы ожидать. Например, SMIC акт...

ML в животноводстве. Как ГК «Русагро» училось считать поголовье свиней Привет, Хабр! В сентябре мы провели конференцию «Инфраструктура для ML и AI, виды дрифтов ML-моделей, Computer Vision в животноводстве». Обсудили, как разные компании используют машинное обучение в работе, поговорили о трендах и инструментах построения production ML-систем ...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Microsoft решила выпустить автономный пакет Office 2024 Компания Microsoft активно перемещает свои программные продукты в облако и предлагает их по подписке. Вплоть до того, что многие компоненты Windows в будущем также могут быть перемещены в облако. Однако, возможно, всё не так радужно с таким подходом, потому как, как соо...

“Made in China”: легким движением руки процессоры Intel Xeon превращаются в китайские чипы Китайские бренды не раз были замечены за копированием западных и не только разработок, будь то дизайн одежды или технологии. И речь не только о дешевых копиях вещей с Aliexpress, с которыми каждый из нас сталкивался, в отрасли производства и разработки электроники такое тож...

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Toshiba представляет жёсткие диски ёмкостью более 30 Тбайт с использованием технологий HAMR и MAMR Toshiba, глобальный лидер в области электроники, представила революционные серийные жёсткие диски ёмкостью более 30 Тбайт. Благодаря передовым технологиям HAMR и MAMR, компания значительно увеличила плотность записи данных, открыв новые возможности для хранения и обработки и...

Samsung представила 27" OLED QuadHD-монитор с частотой обновления 360 Гц по не самой высокой цене Компания Samsung анонсировала новый игровой монитор G60SD на китайском рынке, который предлагает передовые технологии по доступной цене.

Mitsubishi отказалась от сборки Mitsubishi Outlander и Mitsubishi Pajero Sport в России Mitsubishi в своем годовом отчете сообщила о том, что не будет собирать свои внедорожники в России на предприятии «ПСМА Рус» — соответствующее соглашение о контрактном производстве машин с заводом расторгнуто. В качестве компенсации японская компания в...

Завод Hyundai в Петербурге хотят перезапустить как можно быстрее Вице-премьер - глава Минпромторга России Денис Мантуров сообщил подробности о планах на бывший российский завод Hyundai, который переходит российскому владельцу. Фото: РИА Новости / Александр Гальперин Hyundai хочет вернуться и оставить завод за собой, "пожертвов...

Сетевая форензика с помощью ZUI В процессе расследования инцидентов в сетевой области традиционно применяют такие инструменты как Wireshark, Zeek, Suricata. Каждый из указанных инструментов обладает своими достоинствами и недостатками, соответственно было бы целесообразно использовать их в связке из единог...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

26% российских компаний планируют внедрить технологии искусственного интеллекта для повышения безопасности и защиты от утечек данных Компании стали чаще использовать для защиты инфраструктуры модели машинного обучения, а для безопасной разработки — облачные технологии.

Китайский автоконцерн VGV рассматривает возможность выпуска своих автомобилей в России Китайский автопроизводитель VGV рассматривает возможность локализации производства своих автомобилей в России, рассказал Максим Железняк — руководитель отдела продаж и маркетинга «Мотор-плейс», официального дистрибьютора VGV в России. По его словам, та...

Samsung к 2027 году хочет вернуть себе статус крупнейшего поставщика полупроводниковых компонентов Сейчас этот титул носит тайваньская компания TSMC.

Объявлены кандидаты на звание «Автомобиль года в Европе 2024». Самые большие шансы на победу — у Китая Кандидатами на звание «Автомобиль года в Европе 2024» стали семь моделей китайского производства. Всего в списке 28 кандидатов. И 25% из них являются китайскими: BYD Atto 3 (Yuan Plus), BYD Han , BYD Dolphin, BYD Seal, Nio ET7, Smart #1 и Volvo EX30. Да, Sma...

Tenstorrent и MosChip Technologies объявили о сотрудничестве в области RISC-V решений Компании Tenstorrent и MosChip Technologies объявили о сотрудничестве в области разработки передовых RISC-V решений

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

OpenAI представила инструмент, полноценно клонирующий голос: Voice Engine достаточно 15-секндного семпла OpenAI объявила о запуске нового инструмента под названием Voice Engine. Это технология клонирования голоса, которая позволяет имитировать речь любого человека после анализа 15-секундного аудиосэмпла. Компания заявляет, что Voice Engine генерирует «естественно зву...

Обзор Simulator — платформы для обучения инженеров безопасности Kubernetes с помощью CTF-сценариев Ранее мы делали обзор инструментов для оценки безопасности кластера Kubernetes. Но что, если нам нужно обучить инженеров основам безопасности Kubernetes на реальных примерах и автоматизировать этот процесс? Недавно компания ControlPlane, специализирующаяся на Cloud Native-ре...

Современное Торговое Оборудование: Оптимизация Процессов с Aisino A90 и Aisino A99 В современном бизнесе эффективное торговое оборудование играет ключевую роль в обеспечении гладкой и удобной работы предприятий. Среди инновационных решений, выделяются смарт-терминал Aisino A90 и Android POS Aisino A99, представляющие собой передовые технологии в области об...

Криптография перед эпохой квантовых вычислений Недавно мы провели встречу экспертного сообщества по криптографии и квантовым технологиям, в которой приняли участие ведущие российские компании данной отрасли – «Российский квантовый центр», QApp, «Криптонит», «КриптоПро», «ЭЛВИС-ПЛЮС» и другие. На мероприятии обсудили разв...

100 млрд долларов, и всё на искусственный интеллект. SoftBank анонсировала проект Izanagi, в рамках которого будет конкурировать с Nvidia Рынок искусственного интеллекта развивается взрывными темпами и, возможно, это лишь начало. Японский гигант SoftBank сообщил о том, что собирается запустить проект Izanagi с финансированием в 100 млрд долларов, чтобы изменить рынок ИИ.  создано DALL-E Многие детал...

Инструменты автоматического тестирования безопасности QA На тему автоматизации тестирования написано множество статей, посвященных различным инструментам QA в рамках процессов DevOps. В этой статье мы тоже будем говорить об автоматизации тестирования, но уже в контексте процессов DevSecOps. DevSecOps по своей сути является логичны...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Gigabyte выпустила карту расширения с модулем Wi-Fi 7 Компания Gigabyte сегодня официально представила миру сетевую карту расширения PCIe, которая поддерживает последние стандарты Wi-Fi 7 и Bluetooth 5.3. Соответственно, это теперь можно установить в ваш компьютер и получить доступ к передовым технологиям даже на довольно старо...

Xbox следующего поколения, вероятно, можно будет апгрейдить Ну, или даунгрейдить — тут уж кому что. В общем-то, официальных данных от представителей редмондской корпорации не поступало, но пользователи одного форума нашли патент от 2010 года. Этот патент описывает возможность добавления разных аппаратных узлов к игровой платформе. ...

Проблематика RaaS или почему вымогатель BlackCat так быстро прогрессирует Приветствую, читатели. Не так давно в сети была обнаружена новая версия вымогателя BlackCat, и на этот раз злоумышленники начали использовать передовой инструмент под названием Munchkin. Он позволяет вредоносу развертываться из виртуальной среды на другие сетевые устройства....

Росатом и Томский политех создадут центр аддитивных технологий Компания-интегратор российской атомной отрасли «РусАТ» (ООО «Русатом – Аддитивные технологии», входит в топливную компанию Росатома «ТВЭЛ») и Томский политехнический университет подписали соглашение о сотрудничестве, предусматривающее создание Центра аддитивных технологий об...

Минторг США ограничил передачу технологий 37 китайским компаниям По мнению американских властей, данные организации занимались работой над квантовыми вычислениями и могли использовать технологии в военных целях или для шпионажа.

GAC хочет выпускать машины в России. Что мешает? Популярный китайский производитель GAC с осторожностью говорит о возможности появления в России завода по выпуску соответствующих автомобилей. Постоянное повышение утилизационного сбора на автомобили, которые ввозятся в Россию, заставляет GAC обсуждать возможность локал...

Санкции США против Китая угрожают Nvidia, AMD и ASML Как пишет Seekingalpha, новые правила США об экспорте чипов в Китай вряд ли окажут сильное краткосрочное влияние на такие компании, как Nvidia и AMD, но в долгосрочной перспективе картина может быть совсем иной. Nvidia и ASML заявили, что эти правила окажут минимальное ...

Pix — бразильская система платежей, о которой поют горячие мачо Сервис для мобильных платежей Pix (Sistema de Pagamentos Instantâneos), созданный Центральным Банком Бразилии, буквально за год «подсадил» на себя практически всю страну. Свои ключи и QR-коды для переводов завели все — от серьёзных организаций до тех ребят, которые стоят н...

Победить хаос в документации: почему мы создали свой продукт для Docs-as-a-Code Привет, Хабр! В 2020 году компания решила вывести на рынок линейку продуктов Platform V. Для них нужна была документация, которая на тот момент велась в Confluence. Нам предстояло проделать сложную и дорогую работу: собрать документы на нужные версии, привести тексты к едино...

Как коммуникация с клиентами влияет на продажи Сегодня эффективная коммуникация с клиентами стала неотъемлемой частью успешного бизнеса. Когда компания предоставляет доступ к своим услугам или продуктам через различные каналы, это не только повышает удовлетворенность заказчиков, но и существенно влияет на уровень продаж....

[Перевод] Ретрокодинг на Macintosh System 7.5, Think C и ResEdit Большинство современных программистов привыкли использовать инструменты автодополнения кода и новомодные ИИ-штучки а-ля Copilot. Они стали нормой.Эти инструменты настолько удобны, что мы начали забывать о боли и борьбе, которые сопровождали процесс разработки в далеком прошл...

Как Huawei убивает Apple в Китае. Почему Россия только выиграет от этого Американские санкции в отношении Huawei, которые вступили в силу в 2019 году, были призваны полностью разгромить китайскую компанию. Ей запретили не только пользоваться сервисами Google, но и применять в своих устройствах комплектующие заграничных производителей без ведома ...

ClickHouse как бэкенд для Prometheus Привет! Меня зовут Михаил Кажемский, я Lead DevOps в IT-интеграторе Hilbert Team. В последнее время к нам часто обращаются заказчики, которым нужна помощь с организацией хранения долгосрочных метрик в российских облаках. Так как для многих эта задача сейчас актуальная, в дан...

Open AI предоставляет доступ к чат-боту ChatGPT без регистрации Open AI объявила о начале предоставления доступа к своему чат-боту ChatGPT без необходимости в регистрации. Это значительное изменение в политике доступа к этой передовой технологии искусственного интеллекта позволит всем заинтересованным лицам взаимодействовать с чат-ботом,...

«Автомобиль совершенно другого типа, который должен изменить жизни людей». Kia и Rimac готовят необычное роботакси Rimac, хорватская автомобильная компания, ставшая известной благодаря своему гиперкару Nevera, собирается выйти на новую территорию. Автопроизводитель, известный своими передовыми электромобилями и являющийся подразделением материнской компанией Bugatti, представил ...

Электроника и Мобильные Телефоны: Погружение в Мир Новых Технологий Современный ритм жизни невозможно представить без передовых технологий, а электроника и мобильные телефоны стали неотъемлемой частью нашего повседневного существования. Магазины электроники и смартфонов становятся местами, где технологии становятся доступными, а выбор разноо...

Китайцы готовы разрабатывать автомобили специально для России С довольно неожиданным заявлением выступил посол КНР в России Чжан Ханьхуэй во время проведения второго Российско-китайского автопромышленного форума. Он сказал, что Китай готов разрабатывать автомобили специально для России. Несмотря на наличие собственного завода в Р...

ТОП бесплатных OSINT-инструментов по версии T.Hunter в 2024-м году Всем привет! Публикуем подборку лучших бесплатных OSINT-инструментов по версии T.Hunter в 2024-м году. В ней и уже знакомые сервисы и софт, сохранившие свои позиции с прошлых лет, и новые инструменты, которые будут полезны любому специалисту по OSINT.Кроме того, в статье наш...

Forbes: Российская бронетехника и бойцы на передовой стали получать персональные глушилки дронов Современное противостояние требует современных решений

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

ИИ-функции Google Фото станут бесплатными Сегодня компания Google официально объявила, что инструменты на основе искусственного интеллекта в приложении Google Фото будут доступны для всех пользователей без необходимости оформления платной подписки. Речь идет об инструментах Magic Eraser, Photo Unblur и Portrait Ligh...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Не только Toyota: скандал с краш-тестами Daihatsu коснулся автомобилей Mazda и Subaru По сообщению японского издания Yomiuri, компании Mazda и Subaru приостановили заказы на производство своих автомобилей на заводах Daihatsu. Как мы уже писали, было обнаружено, что автопроизводитель Daihatsu сфальсифицировал результаты краш-тестов для получения государст...

Chery первой в России «оцифрует» всю линейку: машины оборудуют интернетом с Яндексом, «ВКонтакте» и играми Компания Chery уже в декабре планирует начать поставлять в Россию так называемые подключенные автомобили, о чем стало известно «Китайским автомобилям» о время глобальной конференции Chery International User Summit в Уху. По сути, Chery планирует обеспечить р...

Профессиональный Входящий Телемаркетинг для Вашего Бизнеса Входящий телемаркетинг - это вид маркетинга, при котором компания осуществляет прием входящих звонков от потенциальных или существующих клиентов. Основной целью входящего телемаркетинга является обеспечение высококачественного обслуживания клиентов, ответы на их вопросы, реш...

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Qualcomm представила платформу AI Hub Учитывая, что на текущий момент искусственный интеллект стал обычным явлением на почти всех современных смартфонах, Qualcomm решила продвинуться в этом направлении и представила передовую платформу под названием AI Hub. Это поможет разработчикам быстро внедрять функции искус...

Японцы объединяются, чтобы противостоять США и Китаю: Toyota, Honda и Nissan буду вместе создавать ПО для машин Три крупнейших японских автопроизводителя - Toyota Motor, Honda Motor и Nissan Motor - объявили о планах начать совместную разработку программного обеспечения для автомобилей. Как сообщила газета Yomiuri Shimbun, компании намерены отказаться от индивидуального создания ...

Китаю удается закупать литографические машины, несмотря на санкции: современных чипов в стране все больше Несмотря на весьма серьезные санкции со стороны США, Китай продолжает успешно выпускать современные чипы. Точнее, пока это удается отдельным китайским компаниям, включая Huawei. Соответственно, торговые ограничения, установленные США, работают не так эффективно, как планиро...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

[Перевод] 13 инструментов для тестирования методом «чёрного ящика» Инструменты для тестирования методом «чёрного ящика» сосредоточены на анализе входных и выходных данных программного обеспечения, его поведения и функциональности с точки зрения конечного пользователя. Они используются для различных типов тестирования, включая функциональное...

Google платит сайтам, чтобы те использовали ИИ Сегодня появилась информация о том, что компания Google якобы платит новостным сайтам (предпочтительно пишущим на английском языке) за то, чтобы они использовали услуги искусственного интеллекта Google для публикации статей на своих платформах. Инсайдеры заявляют, что компан...

Такая компания нужна нам самим: производитель литографов ASML остаётся в Нидерландах ASML, лидер на рынке литографических систем, обеспечивает работой 23 000 сотрудников, около 40% из которых не являются гражданами Нидерландов. Крупнейшая технологическая компания Европы покупает комплектующие из разных стран мира, но собирает своё оборудование в городе ...

Hyundai и Kia представили шины с выдвижными цепями противоскольжения Hyundai Motor Company и Kia Corporation представили новую технологию шин с интегрированными цепями противоскольжения, которая упрощает безопасность вождения в зимних условиях. В технологии шин со встроенной цепью противоскольжения используются модули из сплава с памятью...

Где работать в IT в 2023: DatsTeam Наша рубрика «Где работать в IT» — это интервью с интересными айти-компаниями, в которых они делятся подробностями о процессах своей работы. Представители индустрии отвечают на вопросы о найме, условиях, командах и технологиях.В этом выпуске мы расскажем про компанию DatsTea...

«Карающая длань» санкций США обратилась к еще одной китайской компании — CXMT Правительство США повышает ставки в глобальной гонке за чипы. Сообщается, что оно рассматривает возможность введения санкций против китайского производителя микросхем памяти CXMT. Этот шаг, если он будет принят, еще больше ограничит возможности Китая по разработке передовых ...

Financial Times присоединяется к ведущим мировым медиа в сотрудничестве с OpenAI по лицензированию контента Британская газета Financial Times, принадлежащая издательскому дому Nikkei, заключила соглашение с компанией OpenAI о лицензировании своего контента и совместной разработке инструментов искусственного интеллекта. Тем самым это авторитетное деловое издание присоединяется...

Climate Survey — оценка психологического климата Понимание психологического климата в коллективе позволяет руководству и HR-специалистам не только выявить скрытые проблемы и конфликты, но и оценить уровень удовлетворенности работой, мотивацию и вовлеченность каждого сотрудника. Это, в свою очередь, дает возможность своевре...

Symfony под капотом: Symfony Messenger и механизм повторной обработки сообщений при ошибках Привет! Меня зовут Ваня, последние несколько лет я занимаюсь backend-разработкой в Сравни. Моя команда разрабатывает интеграции с сервисами наших партнёров, код пишем на PHP и Symfony Framework.При работе с интеграциями мы часто имеем дело со сбоями в сторонних сервисах, и н...

Открытые инструменты для превентивной защиты и ИБ-аудита Есть два ключевых подхода к защите ИТ-систем: реактивный и превентивный. Реактивный — это реагирование на атаки и реализованные ИБ-риски, в том числе восстановление данных, установка патчей и обновлений, прочие активности. Превентивный подход подразумевает обнаружение и мини...

Huawei собирается отделить Harmony OS от Android, на которой та основывается. Зачем китайской компании это делать? На Хабре много раз писали про операционную систему Harmony OS от Huawei, которая изначально вообще была, образно говоря, перелицованной Android OS, а затем постепенно стала отдаляться от своих «корней». Теперь китайская компания планирует полностью отделить операционную сист...

Организация GPU-вычислений для машинного обучения в компании: проблемы и трудности По мере того как компании стремятся использовать возможности искусственного интеллекта, резко возрос спрос на специализированные вычислительные ресурсы, в частности, на графические процессоры (GPU). Графические процессоры раньше ассоциировались в основном с играми и графикой...

В iPhone остаётся всё меньше сторонних компонентов. В смартфонах iPhone 17 Pro может появиться чип Wi-Fi собственной разработки Apple Apple пока не удаётся разработать собственный модем сотовой связи для iPhone, но уже через полтора года компания может выпустить свой первый смартфон с адаптером Wi-Fi собственной разработки.  создано DALL-E Аналитик Haitong International Securities Джефф Пу (Jeff...

США могут добавить в «чёрный список» поставщиков Huawei США рассматривает возможность внесения в «чёрный список» ряда китайских полупроводниковых компаний, связанных с Huawei Technologies, после того, как в прошлом году телекоммуникационный гигант совершил значительный технологический прорыв, о чем пишет Bloomber...

VoIP телефония и IP домофония: революционные технологии связи В современном мире развитие технологий и коммуникаций идет стремительными темпами. Вместе с ними появляются новые способы связи, которые упрощают и улучшают нашу повседневную жизнь. Одной из таких революционных технологий является VoIP (Voice over Internet Protocol) телефони...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Добычу гелия-3 собираются начать уже в 2028 году. Кому и зачем это нужно? На днях компания Interlune из Сиэтла сделала интересное заявление: она разрабатывает роботизированный «харвестер» для добычи гелия-3 на Луне. Кроме того, ценный ресурс затем планируется доставить на Землю. В целом, изотоп можно использовать в разных отраслях, но он наиболее ...

Руководство по Next.js. 2/3 Hello world! Представляю вашему вниманию вторую часть обновленного руководства по Next.js. Первая часть На мой взгляд, Next.js — это лучший на сегодняшний день инструмент для разработки веб-приложений. Предполагается, что вы хорошо знаете JavaScript и React, а также хотя...

Руководство по Next.js. 1/3 Hello world! Представляю вашему вниманию первую часть обновленного руководства по Next.js. На мой взгляд, Next.js — это лучший на сегодняшний день инструмент для разработки веб-приложений. Предполагается, что вы хорошо знаете JavaScript и React, а также хотя бы поверхностн...

Американские поезда уже 26 лет «работают» на дискетах. Система муниципального транспорта Сан-Франциско не модернизировалась с 1998 года Несмотря на то, что в целом потребительский рынок уже давно отошёл практически от любых носителей данных, в некоторых профессиональных отраслях до сих пор используются очень старые устройства. К примеру, Железнодорожная система Муниципального транспортного агентства Сан...

Дашборд управления бизнесом (P&L) в Metabase за 5 дней Один из важнейших инструментов в моей работе — дашборд с данными о прибылях и убытках компании P&L (он же БДР, он же отчет о финансовых результатах). Он помогает контролировать рентабельность бизнеса, эффективность отделов компании, прогнозировать прибыль и строить гипот...

Упростить работу с ИИ владельцам видеокарт Radeon. ASRock представила приложение AI QuickSet Компания ASRock решила упростить использование различных инструментов с искусственным интеллектом для владельцев видеокарт Radeon. Для этого компания выпустила приложение AI QuickSet.  ПО фактически помогает установить на ПК с Windows 11 другие приложения. В частн...

Японская косметика японская косметика известна своим высоким качеством, инновационными формулами и уникальными ингредиентами. Японские косметические бренды предлагают широкий спектр продуктов для ухода за кожей, волосами и ногтями, а также декоративную косметику. Вот некоторые особенности и п...

Никогда такого не было и вот опять: падение поставок ASML и финансовые преграды Источник: Reuters. Ведущий производитель оборудования для полупроводниковой промышленности, компания ASML, столкнулась с преградами. Финансовые результаты за первый квартал 2024 года оказались ниже, чем прогнозы даже пессимистично настроенных аналитиков. Вместе с падением ...

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

«Компания ОЗ» помогает детям Луганска, оставшимся в трудной ситуации Помощь детям, нуждающимся во внимании и опеке, – одна из целей благотворительности «Компании О3», производителя огнезащитных и антикоррозионных покрытий, которая придерживается передовых этических принципов. Забота не только об экологии, но и о незащищенных слоях общества ст...

Компания Supermicro отмечает 30-летний юбилей роста, инноваций, ИИ и зеленых вычислений Компания Supermicro, Inc, производитель решений для искусственного интеллекта и облачных технологий, хранилищ и IoT/Edge, отмечает 30-летие научных исследований, разработок и производства в самом сердце Кремниевой долины. Поскольку искусственный интеллект стал одним из важне...

Подкасты Google закрываются: Google запустила инструмент для «переезда» Когда Google объявила о закрытии приложения Google Podcasts (Google Подкасты), компания заявила, что в ближайшие недели выпустит инструмент для лёгкого переноса подписок на YouTube Music или другие платформы. Теперь этот инструмент начинает распространяться среди пользо...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Книга «BPF: профессиональная оценка производительности» Привет, Хаброжители! Инструменты оценки производительности на основе BPF дают беспрецедентную возможность анализа систем и приложений. Вы сможете улучшить производительность, устранить проблемы в коде, повысить безопасность и сократить расходы. Книга «BPF: профессиональная...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

В Россию едет новый бренд Sinomach с внедорожником Paladin Компания Sinomach Automobile собирается выйти на рынок легковых автомобилей в России, как сообщает информационный портал «Газета.Ru», ссылаясь на представителей китайского предприятия в России. Представители Sinomach сообщили, что первой моделью, предназначе...

TourBox NEO – идеальный инструмент для работы и творчества Компания МакЦентр рада сообщить об открытии нового продуктового направления. В соответствии с соглашением, подписанным с компанией TourBox Tech Inc, МакЦентр стал официальным дистрибьютором продукции ...

Миссия Astroscale по удалению космического мусора переходит на следующий уровень Компания Astroscale переходит на следующую стадию своей инспекционной миссии — её космический аппарат приближается к заброшенной верхней ступени на низкой околоземной орбите. 11 апреля Astroscale объявила, что её космический аппарат Active Debris Removal by Astros...

King Price — новый «российский» бренд SSD, блоков питания, корпусов для ПК и других комплектующих. Он принадлежит «Мерлиону» В условиях ухода многих западных брендов электроники с российского рынка, отечественные дистрибуторы ищут новые возможности для развития бизнеса. Одним из перспективных направлений становится выпуск компьютерных компонентов под собственными торговыми марками (СТМ). Так,...

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

Epic Games хочет вернуть аккаунт в App Store Недавно компанию Epic Games лишили доступа к своему аккаунту разработчика в App Store, как раз когда Apple открыла iOS для альтернативных магазинов приложений в Европейском союзе в соответствии с требованиями Закона о цифровых рынках. Эта новость привлекла внимание регулятор...

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

RISC-V шагает по планете: в Китае появилась еще одна компания по производству чипов. Что о ней известно? В том, что в КНР начнет работу новая компания, разрабатывающая RISC-V процессоры, вроде бы нет ничего удивительного. В стране очень мощная отрасль производства электроники, в ней работают тысячи и тысячи компаний. Но глава этого "новичка" - экс-руководитель "дочки" ARM в Кит...

Руководство по Next.js. 3/3 Hello world! Представляю вашему вниманию третью и заключительную часть обновленного руководства по Next.js. Первая часть Вторая часть На мой взгляд, Next.js — это лучший на сегодняшний день инструмент для разработки веб-приложений. Предполагается, что вы хорошо знаете Ja...

В СПбПУ создали передовую технологию производства филамента Изображение: нейросеть DALL-E В лаборатории по полимерным композитам СПбПУ впервые в стране разработали передовую технологию выпуска филамента — это материал для выполнения 3D-печати, в основе которого лежат непрерывные углеродные волокна и термопласты.

Урезанные ИИ-ускорители NVIDIA оказались не интересны целевой китайской аудитории Санкции, введенные Соединенными Штатами против Китая в октябре 2022 года, затруднили китайским компаниям покупку передовых чипов искусственного интеллекта у NVIDIA. Новые ограничения затронули даже чипы NVIDIA A800 и H800, которые были разработаны в соответствии с предыдущим...

Пока Qualcomm отказывается от Snapdragon Satellite, Honor уже разработала собственную технологию для спутниковой связи в смартфонах Компания Honor заявила о том, что разработала собственное решение для спутниковой связи в смартфонах.  создано DALL-E Глава компании рассказал, что ей удалось решить три основные технические проблемы в рамках своего проекта. Это размеры антенны, длительность звонк...

Платформа для корпоративных опросов Корпоративные опросы играют в этом процессе важнейшую роль, позволяя менеджерам и HR-специалистам получать ценные отзывы, анализировать уровень удовлетворенности и вовлеченности, а также идентифицировать области для улучшения. В этой статье мы поговорим о том, как современны...

Чип DX-M1 компании DEEPX будет показан на выставке CES 2024 Компания DEEPX (генеральный директор Локвон Ким), разработчик оригинальных полупроводниковых технологий ИИ, представила 40 клиентов для своего флагманского чипа DX-M1

Google разрабатывает бесплатный инструмент для киберзащиты, работающий на технологии ИИ Данное расширение будет использовать идентификацию типа файлов для обнаружения вредоносных программ

OnePlus представила функцию стирания объектов с фото на базе ИИ Сегодня появилась информация о том, что компания OnePlus наконец-то обратила внимание на направление искусственного интеллекта и начала заниматься разработками, которые позволят улучшить пользовательский опыт. Более того, компания сразу же представила свой новый инструмент п...

Citroen C5 Aircross российской сборки скоро появится в салонах: ОТТС будет выдано в начале апреля, после этого стартуют продажи У официальных дилеров Citroen в России скоро появятся кроссоверы отечественной сборки, выпуск которых налажен на калужском заводе «ПСМА Рус». Как сообщает Drom.ru, Одобрение типа транспортного средства на российский Citroen C5 Aircross будет получено в начал...

Современные процессоры Intel запретили продавать в Германии. Компания проиграла патентный спор, касавшийся некоторых линеек CPU Компания Intel проиграла патентный спор в Германии. Из-за этого теперь там запрещены продажи некоторых процессоров компании.  DALL-E Региональный суд в Дюссельдорфе постановил, что Intel нарушила патент компании R2 Semiconductor, который касается технологии регули...

В Исландии запущен крупнейший в мире объект для удаления CO2 из атмосферы В геотермальном регионе Хеллишейди, Исландия, заработал крупнейший в мире промышленный объект по удалению углекислого газа из атмосферы. Проект «Mammoth» реализован швейцарской компанией Climeworks и использует передовую технологию прямого захвата углекислого газа из воздуха...

Управляйте функциями Galaxy AI на Galaxy S24 Новейшая серия Galaxy S24 от Samsung вводит Galaxy AI - передовые инструменты искусственного интеллекта, доступные для индивидуальной настройки.

США запретили Intel и Qualcomm поставлять чипы Huawei: «Это укрепит национальную безопасность США и урежет возможности коммунистического Китая» США отозвали лицензии, которые позволяли компаниям, включая Intel и Qualcomm, поставлять чипы, используемые для ноутбуков и мобильных телефонов, китайскому производителю телекоммуникационного оборудования Huawei Technologies, попавшему под санкции, о чем пишет Reuters. ...

Python в Excel, базы данных без SQL и трудности в аналитике Привет, Хабр! Сегодня — международный день доступа к информации, поэтому делюсь с вами интересными материалами по ML, AI и дата-аналитике. В новом выпуске вас ждут новинки от Nvidia для LLM, три пути развития аналитического стека, инструменты для анализа данных и другое. Ещ...

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Как тебе такое, Starlink? Компания AST SpaceMobile запустит собственную спутниковую мобильную сеть Компания Илона Маска SpaceX продолжает активно запускать на орбиту Земли спутники Starlink, ещё одной его организации. Услуги текстовых сообщений должны заработать уже в этом году, а в следующем, 2025, будет активирована голосовая связь и передача данных. В январе 2024 года ...

GAC будет собрать свои машины в Казахстане. Решение по сборке машины в России пока не принято Гендиректор GAC International Вэй Хайган в рамках конференции для российских и центральноазиатских СМИ сообщил о том, что компания запустит сборку автомобилей в Казахстане. Что касается сборки машин GAC в России, то на этот счет пока конкретных решений нет: в китайской ...

Как мы увеличили интерес к новостям компании и вовлеченность сотрудников Всем привет! Мы уже много писали про разработку корпоративного портала НЛМК, которым пользуются больше половины сотрудников нашей большой компании. Сейчас хочу рассказать какие существуют дополнительные инструменты оповещения пользователей, которые мы кастомно настроили на п...

«Одна из крупнейших и наиболее значимых инвестиций в истории Венгрии». BYD захватывает Европу и строит ещё один завод 30 января 2024 года BYD подписала соглашение о покупке земли с муниципальным правительством Сегеда в Венгрии для завода по производству легковых автомобилей. Это знаменует собой важную веху в европейской экспансии BYD. В этом мероприятии приняли участие Петер Сийярто, м...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

В России полностью прекратилось производство автомобилей Kia: все некомплектные Kia Seltos и Kia Soul дособраны и отправлены дилерам Под конец прошлого года официальные дилеры Kia начали получать кроссоверы Kia Seltos и хетчбэки Kia Soul, выпущенные в Калининграде на «Автоторе». Это были некомплектные автомобили, но компании удалось найти недостающие комплектующие и дособрать машины. Тепе...

Кратко про Nameko Python Привет, Хабр!Nameko, как фреймворк для Python, предлагает удобные абстракции и инструменты для создания микросервисов, ориентированных на сообщения и события.С Nameko можно получить не только инструмент для создания микросервисов, но и платформу для реализации распределенных...

Nvidia не собирается сдаваться по давлением санкций США. Компания тестирует сразу два новых ускорителя для ИИ, созданных для китайского рынка Компания Nvidia не собирается терять китайский рынок, несмотря на санкции США. Как сообщил глава компании, сейчас она тестирует сразу два новых чипа для ускорителей для ИИ.  Эти новые продукты создаются именно для китайского рынка в рамках актуальных правил США. П...

IBM открыла исходный код моделей Granite Code Foundation для помощи разработчикам IBM Research раскрыла исходный код моделей Granite Code Foundation, которые являются ключевым элементом семейства моделей Granite Foundation. Эти модели были разработаны для удовлетворения требований корпоративных приложений на базе искусственного интеллекта в различных...

Нужно больше нейросетей: корпорация Google открыла общий доступ к старшей модели. Как это работает? Сейчас крупные IT-компании спешат разработать собственный продукт на базе ИИ-моделей, и многим это удается. Например, корпорация Google не так давно представила сразу несколько моделей, а сейчас открыла доступ к наиболее мощной из них. Кроме того, компания анонсировала и при...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Найден способ обойти блокировку просмотра роликов YouTube с отключённой рекламой Компания Google уже некоторое время назад начала блокировать просмотр видеороликов на платформе YouTube у пользователей, которые используют блокировщики рекламы. Но пока Google борется с блокировщиками с помощью блокировок, пользователи изыскивают способы обойти блокиро...

NativeScript в мире кроссплатформенной разработки Давайте знакомиться! Меня зовут Игорь. Я разработчик кроссплатформенных мобильных приложений в компании Smartex. В этой статье я расскажу о NativeScript, основных преимуществах платформы и ее недостатках.Материал будет полезен не только разработчикам, которые уже пишут прило...

Функции Samsung Galaxy AI будут доступны и на старых флагманах На своей фирменной презентации, которая прошла две недели назад, компания Samsung объявила миру, что она умеет создавать не только качественные аппаратные и программные продукты, но и отлично справляется с реализацией технологий на базе искусственного интеллекта. Например, к...

Chery может начать масштабное производство в Италии: речь о сотнях тысяч машин Итальянское правительство ведет переговоры с китайским автопроизводителем Chery Auto о возможности организации производства на территории страны. Целью является увеличение национального выпуска автомобилей до 1,3 млн единиц в год с текущих 800 тысяч. Министр промышленно...

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

Генеральный директор HONOR рассказал о комплексной стратегии развития ИИ в продуктах компании На выставке Mobile World Congress в Барселоне компания HONOR продемонстрировала свое стремление к лидерству в создании передовых устройств и программного обеспечения для нового поколения.

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

AMD допустила серьёзную ошибку, но теперь готова её исправить. Функция Anti-Lag+ вскоре вернётся Компания AMD готовится вернуть функцию Anti-Lag+, которую отключила несколько месяцев назад из-за проблем с рядом игр.  Пока нет никаких подробностей о сроках, но о возвращении написал топ-менеджер компании Фрэнк Азор (Frank Azor), отвечающий в AMD за игровое напр...

Samsung рассматривает технологию 3D-чипсетов для мобильных точек доступа Exynos Сообщается, что компания Samsung Electronics рассматривает возможность применения технологии 3D-чипсетов в своих процессорах мобильных приложений (AP) Exynos.

Как мы не выбрали Airbyte, или почему собирать данные лучше по старинке Привет, Хабр! Меня зовут Илья, я работаю инженером данных в компании Selectel. В отделе BI мы собираем информацию из внутренних и внешних источников и предоставляем аналитикам. У нас достаточно большой набор внешних ресурсов, данные из которых нужно собирать и обрабатывать...

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Раскрыто «секретное оружие» Китая для полета на Марс: модель атмосферы В условиях гонки со временем китайские ученые представили революционную численную модель GoMars, имитирующую все атмосферные нюансы на Красной планете. Этот передовой инструмент отражает циклы движения пыли, воды и углекислого газа на Марсе, что позволит реализовать амбициоз...

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

Бизнес и социальные сети — как использовать медиа для продвижения В современном цифровом веке социальные сети представляют собой не только платформу для общения, но и мощный инструмент для продвижения бизнеса. Компании, успешно использующие социальные медиа, открывают для себя огромные возможности для установления связей с клиентами, увели...

Бизнес и социальные сети — как использовать медиа для продвижения В современном цифровом веке социальные сети представляют собой не только платформу для общения, но и мощный инструмент для продвижения бизнеса. Компании, успешно использующие социальные медиа, открывают для себя огромные возможности для установления связей с клиентами, увели...

Team Group выпустила промышленный твердотельный накопитель P745 Gen 4 Компания Team Group выпустила промышленный твердотельный накопитель P745, который объединяет в себе передовые технологии и производственные процессы

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

ВСУ обнаружили в российских "Геранях" двигатели производства своего союзника из Европы – Чехии Не имей сто друзей, а имей "сто рублей" на покупку двигателя для своих передовых разработок у стран Запада

Подписываем образы контейнеров с помощью cosign, garantir и skopeo Открытые контейнерные платформы, такие как OpenShift, стали неотъемлемой частью современной разработки и управления приложениями. Они обеспечивают удобство и надежность развертывания приложений в контейнерах, обеспечивая изоляцию, масштабируемость и управление ресурсами. Одн...

Что такое бизнес-чат-боты и зачем они компаниям Бизнес-чат-боты – это программы, которые помогают компаниям общаться со своими клиентами 24/7 через мессенджеры, такие как WhatsApp, Facebook Messenger и другие. Они позволяют автоматизировать процесс общения с клиентами, сокращая время ответа на запросы и повышая качество о...

Прототипирование и проверка дизайна детских товаров с помощью 3D-принтеров от Intamsys Японская корпорация Combi — одна из крупнейших и старейших компаний в области разработки и производства товаров для детей и родителей, работающая с 1957 года. Сегодня компания продает продукцию высочайшего качества почти в ста странах. Корпорация Combi владеет и управляет пр...

[Перевод] Как Fishing Joy 2 в Китае удается получать $1.6 млн в месяц Опыт студии CocoaChina показывает, что даже на китайском рынке приложений для Android можно зарабатывать миллионы долларов. Компания доложила, что ее игра Fishing Joy 2 сейчас в Китае зарабатывает более 1.6 миллионов долларов в месяц. Казуальная игра показывает рейтинг конв...

Оценка удовлетворенности сотрудников Измерение удовлетворенности сотрудников не просто позволяет компаниям "прочувствовать пульс" своего коллектива, но и выступает в качестве раннего индикатора потенциальных проблем внутри организации, будь то управленческие недоработки, неэффективная коммуникация или недостатк...

Хорошо, а могло быть ещё лучше: TSMC продолжает развиваться, но в США у компании проблемы с заводом. Что случилось? TSMC — крупнейший контрактный производитель чипов в мире. От этой единственной корпорации может зависеть благополучие глобальной отрасли производства и разработки электроники. Но и у такого гиганта бывают проблемы — например, сейчас стало известно о задержке строительства за...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Firefly Aerospace и Lockheed Martin запустят технологию, позволяющую ускорить запуск космических аппаратов Новая технология, разработанная компанией Lockheed Martin, названная Tantrum, открывает новые возможности для эффективного запуска и настройки спутников. В рамках сотрудничества с компанией Firefly Aerospace, они разработали инженерный образец, который сократит время, н...

Cognizant и ISS продолжают модернизацию финансовой организации ISS Компания Cognizant объявила о продлении на пять лет сотрудничества с ISS, ведущей компанией по управлению восприятием рабочего пространства и объектами недвижимости. Продление сотрудничества позволит компании Cognizant и дальше помогать компании ISS повышать эффективность и ...

Знакомство с DevSecOps Guideline: правила организации безопасной разработки на уровне процессов Количество киберинцидентов постоянно увеличивается, что вынуждает компании реагировать на растущие риски и делает практику DevSecOps обязательной для соблюдения при разработке ИТ-продуктов. При этом топорное внедрение мер не просто не гарантирует безопасность разработки, а...

Китайские хакеры развернули глобальную кампанию по взлому роутеров Совместное предупреждение американских и японских организаций выявило деятельность китайской хакерской группы, нацеленной на маршрутизаторы по всему миру, особенно те, что производит компания Cisco

Arm сокращает рабочие места в Китае, компания уволила более 70 инженеров- программистов Британская фирма по разработке полупроводниковых чипов, принадлежащая японскому конгломерату SoftBank, недавно уволила более 70 инженеров-программистов в Китае.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Как цифровые технологии могут прокачать бизнес рассказали в ИТМО  На базе факультета технологического менеджмента и инноваций (ФТМИ) ИТМО по инициативе компании Юнисендер состоялся воркшоп «Современные цифровые инструменты в бизнесе и маркетинге». Участниками воркшопа стали студенты, преподаватели, а также все желающие гости. Спикерами выс...

Санкции США резко ускорили рост производства чипов в Китае На фоне сокращения экспорта западных технологий китайские чипмейкеры семимильными шагами укрепляет свои позиции в области разработки интегральных схем, пока Министерство торговли США пытается оправдать свой санкции соображениями национальной безопасности

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

ИИ с картографией поможет врачам точно выявлять опухоли и болезни Исследователи Института передовой науки и технологии Бекмана (США) создали искусственный интеллект, способный точно идентифицировать опухоли и болезни на медицинских изображениях. Уникальность инструмента заключается в его прозрачности: каждая диагноз сопровождается визуальн...

Автоматическое тестирование ускорило разработку в 50 раз. Сказка от создателей FoundationDB Автоматическое тестирование по сравнению с обычным, источник Стартап Antithesis Operations LLC создан разработчиками известной системы FoundationDB. Они говорят, что между двумя продуктами много общего: «Когда в 2010 году мы взялись за создание масштабируемой, отказоустойчи...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Законодателям США не понравилось, что Huawei выпустила новейший ноутбук MateBook X Pro на основе процессоров Intel Компания Huawei на днях представила новейших ноутбук MateBook X Pro на основе процессоров Intel Core Ultra. И это не понравилось ряду американских чиновников.  фото: Huawei Как сообщается, законодатели-республиканцы в пятницу раскритиковали администрацию президент...

Титановая ручка Bullet Ant 2.0: три инструмента на все случаи жизни Специализирующаяся на производстве алмазного инструмента китайская компания MEtool Diamond Tools представила на Kickstarter усовершенствованную версию многофункциональной ручки Bullet — Bullet Ant 2.0, которая уже собрала более $10 тысяч инвестиций.

ASML отметила первую установку инструмента для EUV-литографии Twinscan NXE:3800E с низким уровнем АН Компания ASML отметила важную веху - в своих социальных сетях она поделилась новостью о том, что ее инструмент для экстремальной ультрафиолетовой (EUV) литографии третьего поколения достиг неназванного заказчика

ChatGPT заполучит собственные ускорители вычислений? OpenAI рассматривает возможность разработки своих чипов для работы с ИИ Компания OpenAI, известная своим генеративным ИИ ChatGPT, может создать свои собственные ускорители для обучения искусственного интеллекта.  создано DALL-E в Bing Подобные обсуждения в компании начались ещё в прошлом году на фоне нехватки высокопроизводительных чи...

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

Они не помогают Huawei, а «предлагают услуги по очистке сточных вод». Власти Тайваня проверят компании, обвиняемые в нарушении санкций Власти Тайваня проведут проверку четырех местных корпораций после появления информации о том, что они помогают Huawei Technologies в формировании инфраструктуры производства чипов в Китае. При этом министр экономики Тайваня уже заявил, что никаких нарушений торговых сан...

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

Представители бизнеса, государства и общественных организаций рассказали об инструментах защиты пользователей в интернете На площадке Общественной палаты России состоялся круглый стол «Безопасность в интернете: современные вызовы и технологии защиты пользователей».

Безотказные очереди в RabbitMQ: Гарантированная доставка сообщений RabbitMQ - это открытая реализация протокола AMQP (Advanced Message Queuing Protocol), является мощным и гибким брокером сообщений. Он обеспечивает надежное и эффективное взаимодействие между компонентами системы, предоставляя разработчикам инструменты для создания гибких и ...

Безопасность DevOps. Автоматизация и новые инструменты Цикл популярности понятий из безопасности приложений, 2022 год. Из одноимённого отчёта Gartner. См. также обновление за 2023 год В процессе внедрения системы безопасности в DevOps можно использовать многие инструменты, которые уже применяются в компании. Какие-то будут пло...

Россиянам пообещали «тотальную распродажу» Audi, BMW, Mercedes и Porsche Крупные дилерские компании «Автодом» и «АвтоСпецЦентр» перестанут поставлять в Россию автомобили по параллельному импорту: такое решение принято в связи с ростом стоимости импорта из Европы и снижением спроса. Фото: Павел Бедняков / РИА «Н...

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

Языковой процессор LPU, GenAI в FinOps и инструменты для анализа данных Привет, Хабр! Возвращаюсь с новым выпуском полезных материалов, который поможет разобраться в ML, AI и дата-аналитике. Сегодня в программе — состояние MLOps в 2024 году, возможности дата-контрактов, оценка качества данных DQ Score и Python-библиотека для работы с SQL. Подро...

Обновленная платформа от компании «Наносемантика» ускорила процесс разметки в 240 раз Компания «Наносемантика» представила обновленный сервис для разметки данных «Маркер», который используется как инструмент подготовки датасетов для машинного обучения и создания на их основе нейросетей. В новом релизе продукта ...

Китайские исследователи работают над процессорами с 1600 ядрами Такого количества ядер можно достичь, используя многокристальную конструкцию из полноценной полупроводниковой пластины

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Взлом компании Sisense может раскрыть информацию ряда крупнейших компаний мира Агентство по кибербезопасности и защите инфраструктуры США (CISA) заявило, что расследует недавний взлом компании Sisense, занимающейся анализом данных. CISA предупреждает, что атака затронула организации критической инфраструктуры страны и призывает клиентов Sisense срочно ...

Настраиваем серверные платформы с помощью PowerShell-модуля: от проверки конфигурации до монтирования образа Привет! Меня зовут Константин, я ведущий инженер по разработке ПО в компании YADRO. Разрабатываю инструменты сопровождения оборудования, которое мы производим, в том числе серверов линейки VEGMAN. Один из таких инструментов — специальный модуль для PowerShell, кото...

Гигантские ИИ-модели и самые производительные чипы: Nvidia выпустила мощный GPU B200 со скромным потреблением энергии Корпорация Nvidia продолжает развивать направление производства чипов для ИИ-отрасли. Сейчас руководитель американской компании заявил о выпуске самого мощного ИИ-чипа в мире. Кроме того, готовы и некоторые модульные системы, базирующиеся на основе GPU B200, так называется н...

[Перевод] Создание сквозного конвейера MLOps с помощью Open-source инструментов MLOps с открытым исходным кодом: TL;DR Эта статья служит целенаправленным руководством для специалистов по исследованию данных и инженеров ML, которые хотят перейти от экспериментального машинного обучения к готовым к производству конвейерам MLOps. Мы выявим ограничения трад...

Обзор актуальных инструментов шифрования в Android Привет, Хабр! Меня зовут Артур Илькаев, я работаю в департаменте экосистемных продуктов, мы разрабатываем VK ID SDK и все что связано с авторизацией и сессиями, в частности — мультиаккаунт.Секретные данные требуют особого внимания при хранении и передаче. Инструмен...

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

Промокоды как инструмент аналитики: измерение эффективности маркетинговых кампаний В русле современного анализа данных, промокоды возвышаются как мощный инструмент, открывающий окно в мир эффективности маркетинговых кампаний. Опережая традиционные методы измерения успеха, применение промокодов https://sub-cult.ru/chtivo/statji/14106-promokody-skidki-aktsii...

Китайская Tencent накопила такое количество ускорителей Nvidia, что ей хватит на пару поколений вперёд Американское правительство не ленится расширять санкции, запрещая поставлять в Китай всё новые ускорители Nvidia. Под запрет попали ещё три модели Санкции США, которые запрещают поставку в Китай новейших (и не самых новейших) ускорителей Nvidia, похоже, беспокоят не вс...

GEMBLA - Ваш Источник Знаний о Партнерском Маркетинге GEMBLA – это уникальная платформа, объединяющая обширную базу знаний о партнерском маркетинге и множество партнерок и сервисов для SEO, SMM и Affiliate marketing. С нами вы сможете получить доступ к огромному количеству ресурсов, помогающих в развитии вашего бизнеса и увелич...

Hyundai Nexo 2026 с принципиально новой силовой установкой: первое качественное изображение и первые подробности Ресурс Carscoops опубликовал подробности о новом кроссовере Hyundai – модель Nexo нового поколения появится лишь в 2026 году и предложит совершенно новую водородную силовую установку. Как сообщается, Hyundai притормозила с разработкой нового Nexo из-за сомнений в...

США пытаются ограничить Китаю возможность использования американских разработок в сфере ИИ Новый законопроект даст Вашингтону инструменты для ограничения распространения моделей искусственного интеллекта.

В Китае появился собственный литографический сканер выпуска чипов по 28-нм техпроцессу. А как же санкции? На днях китайская компания Shanghai Micro Electronics Equipment Group (SMEE) анонсировала создание первого китайского литографического сканера, который предназначен для выпуска чипов по 28-нм техпроцессу. Собственно, об этом проекте стало известно раньше, но тогда многие экс...

Apple готовится существенно обновить компьютер iMac Инсайдеры заявили, что процессор M3 от Apple изначально должен был появиться в этом году с улучшенными характеристиками и более высокой производительностью в рамках новых ноутбуков MacBook Air. Однако по новым данным, которые появились сегодня ночью, запуск передового процес...

Масштабная утечка раскрыла китайские шпионские инструменты и цели В Сеть утекли документы компании I-Soon, китайского подрядчика, связанного с главным полицейским ведомством страны. Сотни страниц контрактов, презентаций, руководств и списков дают беспрецедентное представление о методах, используемых китайскими властями для «слежки за дисси...

Технологии мобильной связи развиваются. В Китае запустили 5.5G — что это за стандарт? Во всём мире активно развёртывают инфраструктуру мобильной связи 5G. В то же время различные компании ведут разработку 6G-технологий, реализуются и промежуточные проекты. Речь идёт прежде всего о сетях 5.5G, или 5G-Advanced. В Китае уже начали практическое освоение этого тип...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Samsung заменила американский ИИ Google Gemini для китайских Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra на ИИ Baidu – и ничего не изменилось Функции генеративного ИИ, реализованные в новейших флагманах Samsung Galaxy S24 под общим названием Galaxy AI, работают и в Китае, но есть нюанс: если в глобальных моделях возможности ИИ реализуются за счет Google Gemini, то в китайских Galaxy S24 за их выполнение отвеч...

Только отказались от бренда Nokia и тут же попали в скандал. Смартфоны HMD Pulse вживую выглядят не так, как на официальных рендерах компании На днях компания HMD Global представила смартфоны линейки Pulse. Они уже успели поступить в продажу, и вокруг них сразу же образовался скандал. Оказалось, что официальные рендеры не соответствуют реальному дизайну.  оригинальный рендер (слева) и исправленный (спра...

Производитель Mercedes G-Class поможет Volkswagen создать новейшие внедорожники Scout для конкуренции Ford Bronco и Jeep Wrangler Volkswagen обратился к Magna Steyr, австрийскому автопроизводителю и инжиниринговой компании, за помощью в разработке прочных автомобилей для своего возрожденного американского бренда Scout. Местная газета Kleine Zeitung сообщает, что стоимость сделки составляет почти п...

AI-инструмент AlphaFold 3 от Google и DeepMind обещает ускорить разработку лекарств Революционная ИИ-модель AlphaFold 3 от Google и DeepMind обещает ускорить разработку лекарств, предсказывая структуры биомолекул.

Silverstone украла патенты Cooler Master Cooler Master подал иск в Суд округа Центральной Калифорнии против SilverStone, Enermax и их общего OEM-поставщика, китайской компании Apaltek, обвиняя их в нарушении патентов на дизайн жидкостных систем охлаждения.

Qualcomm Snapdragon X Elite опередил Intel Core Ultra 7 155H в тестах с ИИ Передовой процессор Qualcomm Snapdragon X Elite предназначен для использования в ноутбуках нового поколения и появится в продаже примерно в середине 2024 года, но перед тем, как отправить решение в релиз, Qualcomm решила немного похвастаться возможностями чипа в области гене...

В Россию привезут легковые машины, рамные внедорожники и грузовики SAIPA Директор по экспорту иранской компании SAIPA, Мансур Абтахи в интервью Sputnik объявил о намерении компании поставлять в Россию разнообразные транспортные средства через белорусского дистрибутора. Помимо легковых автомобилей, в планах компании поставлять в Россию рамные...

На фоне растущего спроса на высококачественную сталь для машин японская Nippon Steel покупает американскую U.S. Steel Крупнейший японский производитель стали, Nippon Steel, принял решение о приобретении американской компании U.S. Steel, работающей в том же секторе. Как пишет ТАСС, со ссылкой на официальное заявление, опубликованное на сайте Nippon Steel, сумма сделки составит $14,1 млр...

битрикс24 продажи и внедрение Битрикс- это платформа для управления бизнесом, которая предлагает широкий спектр инструментов и функций для продаж и внедрения. Она помогает организациям эффективно управлять процессами продаж, взаимодействовать с клиентами и автоматизировать бизнес-процессы. Вот некоторые...

Уже в ближайшее время. «Сбербанк» предупредил всех, у кого есть деньги в рублях Наиболее крупным, распространенным и популярным на российской территории банком является «Сбербанк». Свыше 105 млн россиян используют услуги и возможности, доступ к которым компания предоставляет каждому из своих клиентов. Кредитная организация предлагает кредиты, вклады, н...

Mazda работает над первым автомобилем с шасси из углеродного волокна Mazda изучает новые материалы для снижения массы новых машин. В патенте, выданном автопроизводителю всего несколько дней назад, подробно описываются методы и процессы, которые он будет использовать для создания такого автомобиля. Другие патенты, опубликованные недавно а...

[Перевод] Как создать API в облаке менее чем в 200 строках кода Современные облачные инструменты и пакеты Python стали настолько мощными, что с их помощью можно создать (масштабируемый) облачный API менее чем в 200 строках кода. В этом посте будет рассмотрено, как при помощи lines Google Cloud, Terraform и FastAPI развернуть в облаке пол...

Hippotable — анализ данных прямо в браузере Сегодня я расскажу про hippotable — удобный инструмент для анализа данных. Мне часто нужно поковыряться в датасете среднего размера (1–100 Мб), чтобы ответить на довольно простые вопросы. Ни один из существующих инструментов (bash, google sheets, jupyter + pandas) не показал...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

Япония отправит астронавтов на Луну в рамках программы «Артемида» Япония и США готовятся подписать соглашение о высадке двух японских астронавтов на Луну в рамках программы исследования Луны «Артемида», возглавляемой Соединенными Штатами. Ожидается, что это событие произойдет в 2028 году или позже. В дополнение к этому, об...

2024 станет «годом наушников»: AirPods от Apple, Pro Buds от Samsung и новинка Sonos 2024 год обещает волну интересных релизов в аудиосфере. Apple, если верить слухам, в качестве стратегического хода собирается заменить AirPods третьего поколения двумя передовыми моделями с доступным шумоподавлением. Тем временем Samsung готовится к выпуску нового поколения ...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Власти США помешали AMD продать в Китай ИИ-ускорители Производитель микросхем Advanced Micro Devices Inc. (AMD) столкнулся с трудностями со стороны американского правительства при попытке продажи чипа искусственного интеллекта, специально адаптированного для китайского рынка. Это произошло в рамках ужесточений в отношении экспо...

Нужно больше тепла: NASA планирует активнее использовать энергию ядра для космических аппаратов Космические аппараты, опускающиеся на лунную поверхность, сталкиваются с одной сложностью — отсутствием стабильного источника энергии для обеспечения своей работоспособности. Несмотря на наличие солнечных батарей, они работают не особо эффективно из-за лунной ночи. Ещё одна ...

Крутейший КПК из конца 90-х — каким был Casio Cassiopeia E-105? Не так уж много людей помнят о такой аббревиатуре, как КПК. Карманные персональные компьютеры появились ещё в прошлом веке и стали незаменимыми помощниками в руках бизнесменов и крутыми девайсами в руках гиков. Ух, если сейчас вспомнить, то на ум приходят как минимум нескол...

Как сделать Spark в Kubernetes простым в использовании: опыт команды VK Cloud Сегодня Spark — отраслевой стандарт среди инструментов обработки данных. Его часто используют в связке с Hadoop, однако Hadoop не очень подходит для работы в облаке. Альтернативой может быть Kubernetes, однако самостоятельно его настраивать и конфигурировать очень сложно. ...

Рациональное использование тарифа: МТС Линк делает гибкими настройки доступа внутри организации «Вебинар Технологии» расширила возможности управления настройками организации. Теперь компании могут эффективнее использовать тарифы.

Huawei разработала «магнитоэлектрический» диск. Что это за накопитель и как он работает? За последние пару лет многие компании и научные организации представили разные виды новых или модифицированных старых носителей информации. Это и кварцевые стекла, и очень емкие ленточные накопители, и даже носители на базе ДНК. Тем не менее, регулярно появляются другие раз...

Росатом хочет наладить 3D-печать деталей для атомных станций Госкорпорация «Росатом» собирается печатать детали для атомных станций на 3D-принтере, запустить такую технологию в работу планируется через год. Об этом журналистам рассказал Илья Кавелашвили, генеральный директор компании «Русатом — аддитивные ...

Яндекс выпустил мобильный браузер для организаций Команда Яндекса объявила о выпуске мобильной версии фирменного браузера для организаций. Мобильный «Яндекс Браузер» представлен вместе с новыми инструментами безопасности в десктопном браузере для организаций.  Сгенерировано нейросетью Midjourney Мобил...

Создатели Photoshop добавят ИИ от OpenAI в свои редакторы видео и фото Компания Adobe анонсировала интеграцию сторонних инструментов искусственного интеллекта в своё популярное ПО для редактирования фото и видео. Среди них – технология Sora от OpenAI.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Впервые в России. «Сбербанк» запустил ипотеку со ставкой 0% годовых для всех россиян В июне 2022 года кредитная организация «Сбербанк» попала под блокирующие санкции Запада, и с тех самых пор компания лишена возможности проводить разного рода денежные операции на международном уровне, а также предоставлять своим клиентам доступ ко Читать полную версию публи...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)