Социальные сети Рунета
Вторник, 21 мая 2024

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Импортозамещение по-американски: США начинают выделять серьезные средства полупроводниковым компаниям внутри страны В 2022 году Штаты начали реализацию программы по развитию индустрии производства чипов внутри страны. Речь идет о законе CHIPS Act. Согласно ему, компаниям, которые развертывают производства внутри государства, выделяются средства в общем размере $52,7 млрд. Речь, конечно...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel откладывает сроки производства AMR чипов из-за неполучения субсидий Акции Intel упали почти на 2% на новостях о переносе сроков реализации проекта в Огайо по производству ангстремных чипов.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

ASML планирует выпускать литографы нового поколения для производства чипов в 2026 году Новые литографы ASML уменьшат размер транзисторов на 40%.

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Эпоха 2-нм чипов: ASML отгружает EUV-литографы третьего поколения. Что это значит для индустрии Источник: st.overclockers.ru Нидерландская компания ASML продолжает развиваться, разрабатывая новые технологии для производства современных чипов. В конце 2023 года стало известно, что компания разработала литограф, способный работать с 2-нм техпроцессом. И сейчас началась...

В Rheinmetall подтвердили намерение выпускать 400 танков KF51 Panther на территории Украины Немецкий концерн не ставит точных сроков, что вызывает большие сомнения относительно реализации подобного проекта

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

ASML повысила цены на литографы на 80%, сделав их слишком дорогими даже для тайваньской TSMC Полупроводниковый гигант отказался закупать сканеры High-NA EUV по такой высокой стоимости

TSMC может получить больше ядерной энергии, если правительство Тайваня внесёт поправки в законодательство Компания TSMC является крупнейшим в мире производителем полупроводниковой продукции. А ещё это самый большой потребитель энергии на Тайване. Масштабы производств в рамках страны таковы, что законодатели готовы изменить действующие правила, регулирующие ядерную энергетик...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

ASML планирует уйти из Нидерландов из-за экологических норм и запрета продавать литографы в Китай В настоящее время ASML занимает 90% рынка литографов

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Власти США выделили $285 млн на субсидирование создания цифровых двойников в национальном полупроводниковом производстве Это позволит оптимизировать освоение новых технологий при выпуске чипов.

Путин анонсировал масштабную программу развития туризма в национальных парках России Президент России объявил о старте беспрецедентной программы по созданию современной туристической инфраструктуры во всех национальных парках страны к 2030 году.

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

Австралия разработала национальную стратегию обороны с акцентом на противодействие Китаю В Австралии опубликована первая в истории страны Стратегии национальной обороны на 2024 год и Комплексная инвестиционная программа на 2024 год для австралийской армии.

Из-за технических проблем миссия НАСА на Луну задерживается на неопределенный срок НАСА сталкивается с серьезными задержками в реализации Лунной программы «Артемида».

Решения для крупноформатного аддитивного производства от Kings 3D Компания Kings 3D основана в 2015 году. Сегодня это национальное высокотехнологичное предприятие, занимающееся исследованиями и конструкторскими работами, производством и применением аддитивного оборудования. Kings 3D — один из немногих китайских производителей, выпускающих ...

Министр торговли США заявила о необходимости дополнительного финансирования производства микрочипов Джина Раймондо акцентировала внимание на значимости укрепления национальной полупроводниковой промышленности и сохранении конкурентоспособности перед мировыми конкурентами.

Баланс сил на рынке чипов медленно, но неуклонно смещается в сторону Китая Программы субсидирования полупроводниковой промышленности в США и странах Евросоюза не приносят желаемых результатов

Российские физики создали программу для улучшения работы лазеров В пресс-службе Национального исследовательского технологического университета "МИСиС" рассказали, что российские физики разработали программу SIMLAD, которая предоставляет возможность максимально точно моделировать работу полупроводниковых лазеров, пишет ТАСС.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

TrendForce: Топ-10 производств чипов показали рост на 7,9% в 3 квартале 2023 Исследование TrendForce указывает на динамичный третий квартал для мировой полупроводниковой промышленности

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

ASML собирается уйти из Нидерландов. Производителю литографов надоел постоянный контроль со стороны государства Нидерландская компания ASML — одна из наиболее важных для отрасли разработки и производства электроники. Она, как многократно писали на Хабре, производит наиболее совершенные литографы в мире. Но сейчас у ASML есть немалые сложности — не денежные, но конъюнктурные. Дело в т...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

В Canon разработали 5-нм литограф, который стоит дешевле, чем система от ASML. Но есть нюанс В современной отрасли разработки и производства электроники правит балом тот, у кого есть литографы. Можно иметь собственную процессорную архитектуру и продвинутые разработки, как у Huawei, но если нет контрактного производителя микросхем, ничего хорошего из этого не выйдет...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

В результате конференции «Путь и пояс» Hinen активно участвует в международной кооперации Лидеры из более чем 150 стран привлекли предпринимателей к участию в третьем Форуме по международной кооперации на высшем уровне «Пояс и путь». Китайская национальная высокотехнологичная корпорация Hinen приняла участие в открывающем третий Форум по международной кооперации ...

Илон Маск планирует строить новые Starship так же часто, как Boeing выпускает 737-ю модель самолёта. Но зачем? Создатель SpaceX Илон Маск никогда не скрывал того, что вся его деятельность направлена на колонизацию Марса. И сейчас предприниматель находится к реализации своей цели ближе, чем когда-либо. Так, сверхтяжёлая ракета-носитель уже почти готова, в феврале планируется третий те...

США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia Глава Nvidia Дженсен Хуанг (Jensen Huang) считает, что США ещё далеко до независимости на рынке полупроводникового производства.  По словам Хуанга, должно пройти ещё 10-20 лет до того момента, как США перестанут быть зависимы от тайванских и китайских производител...

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Названы самые продаваемые автомобили представительского класса. Рейтинг по данным из 160 стран мира Мировой рейтинг самых продаваемых автомобилей представительского класса в 2023 году возглавил третий год подряд BMW 5 Series с продажами 263 993 машин, что на -13,6% меньше, чем годом ранее. Рейтинг был составлен Focus2Move на основании данных о продажах в 160 странах м...

Российские учёные достроят советский синхротрон для создания технологии производства полупроводников Предполагается, что подобное устройство поможет создать литографы для производства чипов

Как происходит сборка новейших Jetour Dashing на «Автоторе», показали вживую Как выглядит производство кроссоверов Jetour Dashing на заводе «Автотор», показал и рассказал главный редактор журнала «За рулем» Максим Кадаков. Сборку начинают с голых окрашенных кузовов. Сначала набивается VIN-номер, затем кузов уходит на кон...

В США один из худших показателей сроков строительства промышленных объектов. Это влияет и на постройку заводов по выпуску полупроводников США уже несколько лет придерживаются стратегии постройки на своей территории новых фабрик по производству полупроводников и не только. Оказалось, при этом в США одни из самых долгих сроков строительства таких объектов.  создано DALL-E Исследователи CSET оценили пр...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

С кондиционером, но без ESP: особенности оснащения Lada Largus и срок выхода версии Largus Cross в повышенным клиренсом Вчера состоялся перезапуск производства Lada Largus в России (фургоны и универсалы теперь выпускают в Ижевске), а сегодня появились новые подробности — об оснащении машин и о выходе новых версий. Изображение: Lada Как сообщил директор продуктовых программ и проек...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Китай скупает оборудование для выпуска чипов — Европа, США, Япония и Тайвань вместе тратят меньше Участники рынка сократили расходы на закупку оборудования для производства полупроводниковой продукции на 11 % по сравнению с аналогичным периодом прошлого года, до $25,6 млрд, о чем сообщила компания SEMI в своем отчете о мировой статистике рынка полупроводникового обо...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

ВШЭ рамширит программы обучения по ИИ для магистратуры Национальный исследовательский университет "Высшая школа экономики" (НИУ ВШЭ) анонсировал планы по расширению программ магистратуры в области компьютерных наук. Целью этой инициативы станет улучшение качества подготовки высококвалифицированных специалистов в области иск...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

«Вот так китайские производители постепенно захватывают рынок», — главный редактор «За рулем» рассказал о новой программе поддержки водителей Livan для России Марка Livan запустила программу поддержки водителей Livan Assistance, о чём сообщил главный редактор издания «За рулем» Максим Кадаков в своём телеграм-канале. Кто из вас полгода назад знал о новоиспеченной китайской марке Livan? И вот она уже объявила о вне...

Россия поможет ВВС Индии модернизировать Су-30МКИ — на реализацию программы выделено $7,5 млрд Истребители российского производства получат более мощные радиолокаторы, средства РЭБ и новую авионику

Иран продемонстрировал новую ракету с гиперзвуковым планирующим блоком Западные эксперты высказывают опасения, что вскоре она сможет нести ядерные боеголовки

В полупроводниковом бизнесе Samsung ожидается улучшение Убытки в подразделении должны уменьшиться за счет мер по сокращению производства микросхем, считают рыночные эксперты.

Центр аддитивных технологий Ростеха получил лицензию на обучение аддитивному производству Центр аддитивных технологий (ЦАТ) Ростеха стал первым предприятием в контуре государственной корпорации «Ростех», получившим лицензию на обучение по программе «Аддитивные технологии». Программы повышения квалификации затронут все аспекты производства методом 3D-печати — от к...

True Anomaly тестирует космические аппараты программы Jackal, предназначенные для отслеживания объектов на орбите Американская компания True Anomaly приступила к реализации своего самофинансируемого проекта под названием Jackal, который предлагает новое решение для отслеживания противников на орбите. Согласно заявлению стартапа из Колорадо, ими уже была установлена связь с одним из...

Планы Samsung и ASML на $760 млн: превращение Южной Кореи в «полупроводниковую сверхдержаву» Samsung и ASML объединяют свои усилия в рамках инвестиций в размере 760 миллионов долларов в строительство передового завода по производству чипов в Южной Корее. Стратегический шаг, о котором было объявлено во время визита президента Южной Кореи Юн Сук Ёля в Нидерланды, напр...

Starship взмыл ввысь, а затем взорвался: как прошел третий тестовый полет SpaceX Амбициозная программа Starship компании SpaceX стала свидетелем «кисло-сладкого» момента в четверг. Космический корабль успешно завершил большую часть своего третьего испытательного полета, достигнув рекордной высоты, а затем, к сожалению, взорвался во время входа в атмосфер...

Китай все активнее развивает индустрию производства чипов. И не всегда явно Относительно недавно мы публиковали статью «Huawei продолжает развиваться, только тайно». В ней говорилось о том, что Huawei сейчас строит новые предприятия по производству полупроводниковых элементов и систем на территории Китая. Причем делает это через посредников, скрыв...

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

Эксперты высказывают опасения о возможном повторении американской «Войны с террором» Американские наркоторговцы хотят вернуть супердоходы.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Линейка казахстанских Chevrolet станет разнообразнее: в соседней стране начнут выпускать Chevrolet Captiva В Казахстане выдан сертификат на Chevrolet Captiva. Судя по документам, собирать кроссовер будут на предприятии «СарыаркаАвтоПром» (оно выпускает другие авто Chevrolet, а также машины Kia и JAC). Chevrolet Captiva нынешнего (второго) поколения – довол...

Министр обороны Польши: объёмы производства боеприпасов в стране "пугающе низкие" Польского политика беспокоят объёмы выпускаемых снарядов

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

В «Ростехе» рассказали о сроках начала производства истребителя 5-го поколения Су-75 Checkmate Истребитель стоит до 30 миллионов рублей, а эксперты ожидают, что за 15 лет удастся реализовать 300 самолётов

По новой машине каждые 8 секунд. BYD, которая уже перестала выпускать авто только с ДВС, выпустила 6-миллионный NEV Сегодня, 24 ноября, с конвейера в Китае сошел шестимиллионный автомобиль на новых источниках энергии (NEV) от BYD. Это внедорожник Fang Cheng Bao (Leopard 5) Bao 5, который вышел на рынок 9 ноября. BYD потребовалось всего три месяца, чтобы произвести 1 миллион новых эле...

ОАЭ будут полагаться на США в развитии национальной полупроводниковой отрасли Лишь бы не помешали деловые связи с Китаем.

Rapidus готова возвращать в Японию уехавших инженеров Чтобы поднимать национальную полупроводниковую промышленность с колен.

В Польше раскритиковали идею Минобороны разместить у себя ядерное оружие США Размышления Польши о размещении у себя американского ядерного оружия вызвали национальные дебаты и озабоченность, пишет Лента.ру. Журналисты Myśl Polska подчеркивают потенциальные риски и последствия, ставя под сомнение возможность выживания страны «в случае российской ракет...

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

TSMC начинает производство чипов для суперкомпьютера Tesla Dojo Тайваньский гигант полупроводниковой промышленности TSMC приступил к производству высокоинтегрированных чипов по технологии CoW-SoW для суперкомпьютера Tesla Dojo, предназначенного для обучения систем искусственного интеллекта.

Саудовская Аравия хочет стать мировым полупроводниковым лидером Компания Alat будет создана при поддержке Фонда государственных инвестиций, а её капитал составит 100 000 000 000 долларов, что в разы больше инвестиций в полупроводники любой из стран мира

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

АвтоВАЗ хочет улучшить комфорт новой Lada Largus Специалисты АвтоВАЗа проводят опрос на тему для улучшения комфорта третьего ряда сидений в автомобиле Lada Largus. Они интересуются возрастом, ростом, количеством пассажиров и наличием детей, а также спрашивают пользователей, часто ли они пользуются третьим рядом. Прои...

Закуп Китаем полупроводникого оборудования вырос на 42% В «полупроводниковом буйстве» Китай стал бесспорным чемпионом, наращивая свои экономические «мускулы» благодаря 42-процентному росту закупок оборудования для производства полупроводников.

Придётся запастись терпением. Стало известно, когда возобновится производство Lada Vesta SW и Lada Vesta SW Cross Если с производством седанов Lada Vesta АвтоВАЗ как-то справляется, то с универсалами ситуация печальная. Их выпускали всего несколько месяцев, а с 11 декабря производство Lada Vesta SW и Lada Vesta SW Cross вновь прекратилось. Когда ждать очередной перез...

Подразделение SK hynix начнёт выпускать силовые полупроводниковые компоненты для Tesla Со второй половины этого года.

Китайские инвесторы не торопятся поддерживать деньгами развитие национальной полупроводниковой отрасли Они опасаются, что американские санкции сделают все усилия напрасными.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Google больше не будет выпускать доступных смартфонов На протяжении длительного времени компания Google выпускала относительно доступные флагманские смартфоны. Конечно, они существенно уступали в производительности большинству флагманских смартфонов на рынке, но их основное преимущество заключалось в том, что они отлично снимал...

Япония включается в гонку по производству и разработке микросхем Япония пытается стать лидером в области разработки микросхем и выделяет на поддержку отечественной полупроводниковой промышленности 13,3 миллиарда долларов.

АвтоВАЗ выпускает Lada Vesta только в минимальной комплектации, а «производство Granta творит чудеса» — все машины без подушек безопасности, согласно Avtograd News В данный момент с конвейера АвтоВАЗа сходят автомобили Vesta только в кузове «седан» в минимальной комплектации, о чём пишет инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Последняя рабочая неделя в этом году на ВАЗе. С конвейера сходят...

Российские ученые разработали метод защиты сплавов от окисления В пресс-службе Национального исследовательского технологического университета МИСИС сообщили, что ученые вуза разработали инновационный способ защиты жаропрочных сплавов от окисления при высоких температурах. Новая технология обещает предотвратить возникновение дефектов в пр...

Разработан кремниевый чип для передовых радио- и фотонных приложений Исследователи из Наноинститута Сиднейского университета разработали небольшой кремниевый полупроводниковый чип, сочетающий в себе электронные и фотонные (световые) элементы, что стало значительным достижением в области полупроводниковых технологий.

Правительство США поддержит производство микрочипов в стране Правительство США выделяет миллиарды долларов на развитие производства микрочипов, укрепляя лидерство страны в этой ключевой отрасли.

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

Украинский эксперт признал превосходство российских беспилотников По словам Марии Берлинской, войскам Киева, возможно, придется отступить, если страна не активизирует производство БПЛА

Такое возможно только в Японии. Представлен ноутбук Panasonic Let’s Note SR 12.4 – 1 кг, 16 часов автономности, два слота SIM и возможность подключения 4 мониторов Япония – страна контрастов, и зачастую электроника, выпускаемая там, для внутреннего рынка, сильно отличается от того, что поставляется на экспорт. Достаточно вспомнить смартфоны Sharp. Или вот свежий пример – ноутбук Panasonic Let’s Note SR 12.4. Эта ...

Глава ВВС США предупредил, что у нового бомбардировщика B-21 Raider могут возникнуть проблемы Учитывая, что программа создания стратегического бомбардировщика нового поколения оценивается в 50 миллиардов долларов, появление неприятных сюрпризов может крайне негативно отразится на сроках начала серийного производства

Путин поручил премьер-министру изучить возможность создания отечественной игровой приставки В настоящее время реализация проекта вызывает большие сомнения

В России готовы выпускать перспективную мемристорную память Российскими учеными объявлено о создании весьма интересной технологии, позволяющей интегрировать мемристорные устройства в процесс по производству кремниевых микрочипов. Это позволит выпускать в России достаточно перспективную мемристорную память формата RRAM.

На Ставрополье откроют производство смесей для строительной 3D-печати Предприятие будет выпускать около четырехсот тысяч мешков сухих смесей в год, производство планируется наладить в следующем году. Реализацией проекта занимается компания Smart Build, уже отметившаяся несколькими проектами по 3D-печати зданий. Планируемых объемов хватит для п...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Их делают на заводе в Калининграде: Kaiyi увеличил срок гарантии на свои авто в России до 5 лет Автомобильный бренд Kaiyi, за которым стоит китайская компания Chery, объявил о значительном увеличении гарантийного срока для российского рынка.  Как сообщила пресс-служба, в декабре 2023 года Kaiyi в России изменил гарантийные обязательства на все модели бренда:...

Компания Space Forge получила финансирование в размере ?7,9 млн для строительства Национального центра исследований микрогравитации Space Forge получила финансирование в размере £7,9 млн от космического агентства Великобритании для строительства Национального центра исследований микрогравитации. Компания Space Forge получила почти 8 миллионов фунтов стерлингов от Фонда инфраструктуры космичес...

Южная Корея планирует создать новый космический кластер к 2027 году с финансированием в $1,14 миллиарда Южная Корея обнародовала амбициозные планы создания кластера космической промышленности в течение ближайших восьми лет. Он будет включать не только производство спутников и ракет, но и обучение космонавтов. Для достижения этих целей в 2027 году правительство Южной Кореи...

У россиян всё больше проблем с китайскими автомобилями. Они будут только нарастать, считают СТО и эксперты Китайские автомобили продолжают пользоваться высоким спросом у россиян, но сложности с их ремонтом возникают все чаще, о чем пишет издание «Октагон» со ссылкой на экспертов и представителей СТО. «Ремонтировать китайский автомобиль себе дороже. Деталей ...

«Зачем вам так много машин одного класса и очень похожего качества?». Глава Xiaomi критикует коллег по автомобильному рынку Основатель Xiaomi Лэй Цзюнь рассказал, почему Xiaomi решила выпускать изначально только один автомобиль. Он не понимает, зачем китайские производители выпускают так много очень похожих машин (даже в пределах одной компании). Лэй Цзюнь сказал, что он почувствовал «...

SMIC высказывает опасения по поводу усиления конкуренции на китайском рынке Руководство SMIC выражает обеспокоенность по поводу потенциального негативного влияния усиления конкуренции на китайском рынке на цены чипов и прогнозирует замедление роста мирового производства микросхем после 2025 года.

Skoda променяла Россию на Казахстан. В соседней стране будут собирать Skoda Kodiaq и Octavia, а также Superb, Kamiq и Karoq На прошлой неделе стало известно, что казахстанская Allur подписала соглашение с Volkswagen Group и запуске в стране сборки автомобилей Skoda, и вот сейчас появились подробности на этот счет. Изображение: Skoda Оказалось, что в Казахстане будут выпускать две модели, ко...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Уходит эпоха: BMW прекратила выпуск двигателей внутреннего сгорания в Германии Ноябрь 2023 года стал поворотным моментом для головного предприятия BMW в Мюнхене: завод, на котором в течение 60 лет выпускали бензиновые и дизельные двигатели, прекратил выпуск ДВС. Последним собранным мотором стал V8. Теперь на предприятии наладят выпуск электромобил...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Как мы реализовали текстовый поиск за 48 часов В компании Циан (где я, Клюшев Александр, и работаю в роли ML-инженера) проводятся внутренние хакатоны, и один из таких проходил в начале лета 2023. Достаточно давно в компании обсуждали идею по реализации поиска объявлений через текстовую строку, и было принято решение эту ...

АвтоВАЗ анонсировал новую шестиступенчую механическую коробку передач для Lada. Названы сроки АвтоВАЗ подтвердил «Автоновостям дня», что автомобили LADA получат новую шестиступенчую механическую коробку передач, а первые машины с такой МКПП будут выпущены в конце 2024 года. Новая трансмиссия будет сочетаться с представленным ранее 122-сильным двигате...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

Разбираем план массированной атаки ВСУ по Крымскому мосту – участвуют ATACMS, Taurus и морские дроны В настоящее время план, опубликованный на украинских ресурсах, вызывает большие сомнения с точки зрения реальности его реализации

Tele2 запускает экосистему здоровья с 8 программами для ЗОЖ и красоты Это портал, где абонент Tele2 может выбрать одну из восьми программ для заботы о своем здоровье. В каждую программу входят консультация врачей, рекомендации по физическим нагрузкам, рациону питания и целый набор скидок от партнеров – экспертов в области здоровья и красоты.

Qualcomm может стать получателем 2-нм чипов производства Samsung А TSMC будет выпускать 2-нм изделия для Apple и NVIDIA.

Windows 11 станет более понятной и удобной Проводник в Windows 11 изменился достаточно сильно по сравнению с Windows 10, принеся в том числе противоречивые, современно выглядящие контекстные меню. Смотреться они стали действительно свежее и приятнее, но при этом многие отмечают, что «эргономичность» пострадала. Ч...

КамАЗ рассказал, как импортозамещал комплектующие для флагмана КамАЗ К5. За год пришлось локализовать производство 135 деталей После ухода многих иностранных брендов из России КамАЗ, ГАЗ, АвтоВАЗ и другие производители отечественных автомобилей столкнулись с серьезным дефицитом комплектующих. Например, КамАЗу пришлось в довольно короткий срок – около года – импортозаместить 135 комп...

Президентом Аргентины стал Хавьер Милей, противник BRICS и звезда TikTok Он планирует сменить национальную валюту страны с аргентинского песо на американский доллар

Вице-президент «Тинькофф» рассказал о планах банков создать альтернативную платежную систему Ведущие банки нашей страны продолжают обсуждение идеи строительства платежной системы, способной стать альтернативным вариантом Национальной системы платежных карт (НСПК). Такое мнение в интервью «Коммерсанту» высказал вице-президент «Тинькофф» Сергей Хромов. Эксперт считает...

Американский эксперт рассказал о российском супертанке Т-95, который Россия никогда не выпускала Об уровне "экспертности" зарубежнго эксперта каждый сделает самостоятельные выводы

Блок питания DeepCool PX1300P: работа с остановленным вентилятором до 800 Вт и 2 разъема PCIe 5.0 (12VHPWR) DeepCool PX1300P — достойная реализация «платинового» БП с соответствующей ценой. Его технико-эксплуатационные характеристики находятся на отличном уровне, чему способствуют высокая нагрузочная способность канала +12VDC, высокая экономичность, высококачественный вентилятор с...

«Мы — космическая держава». При этом Лукашенко считает нецелесообразным для Белоруссии строить свой космодром и ракеты, так как страна имеет отличные отношения с Россией Президент Белоруссии Александр Лукашенко заявил, что страна не планирует строить собственный космодром или создавать космические ракеты. Он также заявил, что полет белоруской женщины-космонавта Марины Василевской на Международную космическую станцию (МКС) сделал республ...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Приэльбрусье стало третьим по популярности в России в горнолыжный сезон Так, горнолыжный курорт Кабардино-Балкарии стал третьим по популярности в стране среди туристов – в Приэльбрусье было совершено 7,5% от всех поездок на зимние курорты России.

Xbox Game Pass тянет игровые консоли Microsoft на самое дно Никогда такого не было и вот опять. По сети все больше экспертов и инсайдеров высказывают свое осторожное мнение о провале подписочной модели распространения контента. Собрал все мысли в один большой пост и выложил на всеобщее обозрение со своей личной интерпретацией

Власти США будут вынуждены вкладывать много средств в подготовку новых кадров для национальной полупроводниковой отрасли Иначе на новых предприятиях будет некому работать.

«Лаборатория Касперского» предупредила об уязвимостях в программах с открытым кодом Российские эксперты рассказали об опасностях программ с открытым кодом

Новейшая консоль PS5 Pro не сможет запускать игры при 60 кадрах в секунду Эксперты Digital Foundry выразили сомнения в возможностях грядущей PS5 Pro.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Южнокорейская индустрия микросхем вернулась к жизни: поставки и производство взлетели Двигатель экономической машины Южной Кореи снова оживает, и топливом для него служит кремний. Полупроводниковая промышленность страны, являющаяся одним из мировых лидеров, переживает возрождение, а объемы производства и поставок взлетели до рекордных отметок.

IT Recruiting — HR Forum 2023 После всех потрясений 2022 года на рынке iT кадров России наметилась стабилизация. Количество резюме на рынке вакансий растет, но происходит это в основном за счет появления большого количества джунов, а вот мидлов и сеньоров по-прежнему не хватает. Бизнесу в этой ситуации н...

В Узбекистане начнут выпускать Hyundai Elantra, Tucson, Sonata и Santa Fe После того, как в России закрылся завод Hyundai, концерн Hyundai-Kia начал наращивать производство машин в сопредельных странах: и Hyundai, и Kia активно собирают в Казахстане, а сейчас стало известно о том, что сборку едва ли не всего модельного ряда Hyundai налад...

Роскосмос показал, как будет выглядеть российская замена Международной космической станции Роскосмос раскрыл завесу тайны над проектом, который может стать национальной гордостью России и заменой Международной космической станции.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Военный эксперт Леонков назвал ложными заявления Израиля об ударе по больнице Доказательства невиновности Израиля в ударе по больнице поставили под сомнение

ABS в России уже выпускают, на очереди ESC. Производство отечественных систем стабилизации ESC запустят в ноябре «Российская» газета рассказала, когда в стране начнется выпуск систем стабилизации ESC. За комментарием обратились к компании «Итэлма» (летом она, напомним, начала выпуск в России систем ABS): оказалось, выпускать ESC начнут уже в ноябре. Ф...

Hisense вошла в список ESG по версии Fortune China Компания Hisense, глобальная корпорация по производству бытовой электроники и бытовой техники, третий год подряд была включена во влиятельный список компаний в сфере ESG по версии Fortune China за выдающиеся усилия в области улучшения окружающей среды, заботы о сотрудниках и...

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

В России представили компоненты, которые заменят импортные аналоги в приборах машинного зрения и радиолокации Входящий в состав Ростеха холдинг «Росэлектроника» продемонстрировал линейку диодов различных типов на выставке «Связь-2024». Как отметили в пресс-службе, образцы перспективной электронной компонентной базы разработаны в рамках программы импортоз...

Китай готовится к запуску спутника-ретранслятора для лунной миссии «Чанъэ-6» По информации от Национального космического управления Китая, в ближайшее время запланирован запуск спутника-ретранслятора для предстоящей лунной миссии Chang'e 6 и других программ исследования Луны. Комбинация спутника-ретранслятора Queqiao 2 и ракеты-носителя Long...

Компания GeniusBOS вывела на рынок линейку трансформаторов среднего напряжения мощностью от 1 до 10 кВА Компания GeniusBOS объявила о получении заказов на трансформаторы среднего напряжения для поставки на внутренний рынок США. Компания намерена продолжить работу в этом важном сегменте своего ассортимента продукции. Эндрю Уорден (Andrew Worden), генеральный директор Geniu...

АвтоВАЗ отгрузит сотни специальных автомобилей Lada до конца недели АвтоВАЗ отгрузит до конца года около 700 специальных автомобилей Lada, предназначенных для людей с ограниченными возможностями, о чем сообщил вице-премьер и глава Минпромторга РФ Денис Мантуров. «У нас уже есть действующая программа по реализации таких машин, я им...

VK Реклама запускает программу развития VK Таргетолог Участники программы смогут тестировать новые инструменты, консультироваться с экспертами и расширить экспертизу

Nvidia пообещала приоритет Японии в поставках процессоров для ИИ Nvidia пообещала Японии приоритет в поставках GPU для ИИ на фоне огромного спроса. Страна вкладывает средства в восстановление полупроводниковой промышленности.

Согласно отчету аналитиков, интернет-сервис Starlink от SpaceX стал прибыльным Ранее большинство экспертов считало, что амбициозная программа Starlink Илона Маска окажется провалом.

Sony и Microsft, в сторону. В России могут наладить производство игровых консолей Президент Владимир Путин поручил Правительству Российской Федерации «рассмотреть вопрос об организации производства стационарных и портативных игровых приставок и игровых консолей, а также о создании операционной системы и облачной системы доставки игр и программ ...

Китай возмутился новыми санкциями США в сфере высокопроизводительных вычислений и полупроводниковых компонентов От этих ограничений страдает мировая полупроводниковая отрасль.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

В Тольятти начнется выпуск «мягкой» панели приборов для Lada Vesta. Производство перенесли из Ижевска в рекордные сроки АвтоВАЗ сообщил о завершении проекта переноса производства Lada Vesta из Ижевска в Тольятти, последним этапом переезда стал перенос технологии изготовления так называемой «мягкой» панели приборов. На это ушло всего 6 недель. Новое оборудование установили в Т...

Intel опередила Samsung и вернула себе лидерство на рынке полупроводников Компания Intel неожиданно вернула себе звание крупнейшего производителя полупроводниковой продукции по итогам 2023 года.  Фото: Walden Kirsch, Intel Со ссылкой на данные аналитиков Gartner, ресурс WCCF Tech сообщает, что по итогам прошлого года выручка Intel ...

Математик заявил, что американские шпионы ослабили шифрование нового поколения Эксперт в области криптографии высказал опасения, что Национальный институт стандартов и технологий США (NIST) может позволить Агентству национальной безопасности (АНБ) ослабить стандарты шифрования, предназначенные для защиты от взлома с помощью квантовых компьютеров.

CSET: Заводы по производству чипов в США строятся в два раза дольше, чем в Азии Бюрократия тормозит развитие полупроводниковой промышленности в США.

НМГ создает кластер документального производства Национальная Медиа Группа объявляет о создании НМГ ДОК — кластера, специализирующегося на производстве документального контента для ТВ, онлайн-сервисов и платформ.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Центробанк может снизить ключевую ставку в начале третьего квартала до 12% Регулятор сосредотачивает внимание на росте инвестиционной активности, увеличении экономического роста и замедлении инфляции. Некоторые члены совета высказывают мнение о необходимости снижения ключевой ставки к лету с целью дальнейшего развития экономики.

Гендиректор OpenAI ищет 7 трлн долларов на строительство новых полупроводниковых заводов План, мягко говоря, амбициозный, но он перевернет существующую полупроводниковую индустрию с ног на голову.

Канадские власти намерены запретить мультитул Flipper Zero, посчитав его хакерским Эксперты ставят под сомнение реальные возможности взлома современных автомобилей этим гаджетом.

Samsung теряет лидерство из-за обвинений топ-менеджмента компании в коррупции Коррупционный скандал подкосил позиции лидера полупроводниковой отрасли, но эксперты прогнозируют восстановление репутации.

В США добывается 100% всего полупроводникового кварца, а эксперты ожидают дефицит этого минерала Добыча и переработка кварца находится в руках одной американской компании

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

ТАСС: в США начнут производство гиперзвукового оружия в течение года В США назвали сроки запуска производства гиперзвукового оружия

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Началось производство обновлённого Volkswagen Golf — спустя 50 лет после выхода оригинальной машины. Названы лучшие модели VW Volkswagen отчиталась о начале производства рестайлинговой версии Volkswagen Golf восьмого поколения. Первой машиной стал синий хетчбэк в топовой комплектации Style в цвете Anemone Blue Metallic. Новую модель можно узнать прежде всего по измененной передней части. Он по...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Распространение электромобилей поднимает вопросы жизненного цикла аккумуляторных батарей Есть распространенное мнение, что аккумуляторы для электромобилей с истекшим сроком достаточно ценны для компаний, занимающихся производством и переработкой, что должно предотвратить их попадание на свалки. Однако в отсутствие каких-либо требований прозрачности в отношении с...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Трамп: США не станут защищать страны НАТО, если не получат за это достаточно денег Заявления Трампа всё больше напоминают рэкет из далёких 90х годов

Разработанный Nothing «iMessage для Android» вызвал недоумение экспертов Стартап-производитель телефонов Nothing утверждает, что его «Nothing Chats» позволит пользователям получить доступ к «iMessage на Android». Однако скептики ставят под сомнение правомерность этого утверждения.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Apple расширила программу самостоятельного ремонта: всё, что нужно, чтобы починить iPhone 15 и Mac на базе SoC M2 Компания Apple объявила сегодня о расширении программы самостоятельного ремонта (Self Service Repair Program) в Европе и США. Теперь самостоятельно ремонтировать свои устройства смогут пользователи всех моделей серии iPhone 15 и компьютеров Mac с чипами Apple M2, включа...

Новосибирск присоединился к программе бета-тестирования российской ОС "Аврора" Руководитель бета-программы ОС "Аврора" Татьяна Казнова рассказала, что в Новосибирске стартовала бета-тестирования "Авроры" – первой национальной операционной системы России, пишет ТАСС.

Россияне больше всего покупают Haval, но страхуют чаще всего Geely и Chery. Новое исследование «СберАвто» и «СберСтрахования» Спрос на китайские автомобили и каско для них в 2023 году заметно вырос, а самыми любимыми у россиян стали Haval, Chery и Geely, о чем говорится в исследовании экспертов «СберАвто» и «СберСтрахования». На Haval пришлось 22% от общего объема реали...

Daihatsu начала возобновлять производство авто после полутора месяцев простоя из-за скандала с краш-тестами Японский автопроизводитель Daihatsu (дочерняя компания Toyota Motor по выпуску малолитражных автомобилей) частично возобновил производство своих машин. Напомним, все четыре завода компании в Японии прекратили работу в конце декабря прошлого года, поскольку Daihatsu оказ...

Дуров выразил сомнения в безопасности разработанных в США платформ В беседе с Такером Карлсоном Павел Дуров, основатель мессенджера Telegram и соцсети «ВКонтакте», выразил сомнение в безопасности платформ, разработанных в США. Его слова передает Лента.ру.

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Китай запустил нового сотового оператора, работающего по всему миру Пока Россия готовится к реализации национального проекта «Сфера», а компания SpaceX уже успешно разместила на орбите Земли огромное множество спутников, за счет которых работает фирменный оператор связи Starlink, власти Китая посчитали необходимым включиться в эту Читать по...

Amazon раскритиковала законность деятельности Совета по трудовым отношениям США Amazon, гигант электронной коммерции с более чем 1,5 миллионами сотрудников, поставил под сомнение конституционность Национального совета по трудовым отношениям (NLRB). Этот шаг последовал за аналогичными заявлениями таких компаний, как SpaceX и Trader Joe's, повышая ставки ...

Стартовало производство Lada Vesta Sportline. Выпускать будут по 2-4 машины в день АвтоВАЗ официально представил Lada Vesta Sportline в начале октября, но производство автомобиля стартовало только на текущей неделе. Об этом сообщил инсайдерский паблик Avtograd News. Объемы выпуска мизерные, а широко разрекламированных универсалов нет. Так выглядит La...

Privacy Day 2024: ИИ, приватность и защита ПД в Евразийском регионе НАСТОЯЩИЙ МАТЕРИАЛ (ИНФОРМАЦИЯ) ПРОИЗВЕДЕН И (ИЛИ) РАСПРОСТРАНЕН ИНОСТРАННЫМ АГЕНТОМ «РОСКОМСВОБОДА» ЛИБО КАСАЕТСЯ ДЕЯТЕЛЬНОСТИ ИНОСТРАННОГО АГЕНТА «РОСКОМСВОБОДА». 18+Рассказываем, что обсуждали эксперты в первых двух секциях конференции.29 января в формате онлайн, с подклю...

Производство систем ESP в России запустят до конца декабря. Их будут выпускать там же, где выпускают системы ABS Сначала в России начали выпускать системы ABS (производство в Костроме стартовало летом), а сейчас готовится к запуску линия по выпуску противозаносных систем (ESP). По словам замглавы Минпромторга РФ Василия Шпака, выпуск ESP начнется уже в текущем месяце. «Сего...

Японский производитель полупроводников Renesas Electronics поглощает конкурентов одного за другим Крупнейший в Японии производитель интегрированных полупроводниковых устройств инициировал амбициозную программу по поглощению транснациональных компаний

Российских врачей и учителей хотят массово снабдить отечественными планшетами Минцифры собирается массово обеспечить российских учителей и врачей планшетами отечественного производства. Как заявил министр цифрового развития РФ Максут Шадаев во время конференции Tadviser Summit, которая проходит сейчас в Москве, снабжать учителей и врачей планшета...

Космическая эволюция Пекина: коммерческий космос и спутниковые «созвездия» как ключевые отрасли будущего 8 сентября центральный офис муниципального правительства Пекина выпустил уведомление о «Плане реализации стимулирования инновационного развития отраслей будущего». Это реализация национальных планов и приоритетов, опубликованных за последние два года. В &laq...

Nvidia укрепит связи с Вьетнамом и поддержит развитие искусственного интеллекта Исполнительный директор американского производителя чипов Nvidia заявил, что компания расширит свое партнерство с ведущими технологическими фирмами Вьетнама и поддержит страну в обучении специалистов. Nvidia, которая уже инвестировала во Вьетнам 250 миллионов долларов, ...

NASA продолжает развивать миссии программы Earth System Observatory в условиях неопределённости бюджета NASA продолжает активную работу над проектом Earth System Observatory, несмотря на финансовую неопредёленность. Этот проект представляет собой многомиллиардную серию научных экспедиций, направленных на изучение Земли. В ходе встречи Американского Геофизического Союза в ...

Meta* раскритиковала Apple и отменила собственный магазин приложений для iOS Марк Цукерберг поставил крест на идее создания магазина приложений Facebook* для iPhone в ЕС, сославшись на «обременительную» реализацию компанией Apple правил сторонней загрузки. Это ставит под сомнение влияние европейского закона о цифровых рынках (DMA) на доминирующее пол...

CGTN AMERICA И CCTV UN. Глобальный медиадиалог: почему 2024 год — это год «входа в Китай»? CGTN America и CCTV UN выпускают «Глобальный медиадиалог: почему 2024 год — это год «входа в Китай»?». Сразу после главных ежегодных политических встреч страны China Media Group принимает у себя Глобальный медиадиалог, подчеркивая общее процветание и возможности в ...

Тайваньский полупроводниковый гигант TSMC вернулся в топ-10 самых дорогих компаний мира Хотя по значимости для полупроводниковой индустрии он может занимать даже первое или как минимум второе место

Ученые «взломали ДНК» для разработки метода получения 3D-наноструктур Исследователи из Брукхейвенской национальной лаборатории Министерства энергетики США, Колумбийского университета и Университета Стоуни-Брук разработали универсальную методику создания разнообразных металлических и полупроводниковых 3D-наноструктур.

Полупроводниковый гигант TSMC планирует запустить в Японии производство 6-нм чипов В строительство нового предприятия планируется вложить $13,3 млрд

Японские власти выделили $902 млрд на поддержку производства силовых полупроводниковых компонентов Его будут расширять компании ROHM и Toshiba.

NVIDIA обсудит с властями Вьетнама возможность сотрудничества в сфере полупроводниковых компонентов До локализации производства дело вряд ли дойдёт.

Meizu 21 представили в Китае. Выглядит эффектно Компания, похоже, больше других брендов стремится к безрамочности выпускаемых устройств, всего 1,7 мм со всех сторон. Но не забывает, что у потребителей есть и другие требования к современным устройствам, тем более относящихся к категории флагманов. Читать полную статью

Такая компания нужна нам самим: производитель литографов ASML остаётся в Нидерландах ASML, лидер на рынке литографических систем, обеспечивает работой 23 000 сотрудников, около 40% из которых не являются гражданами Нидерландов. Крупнейшая технологическая компания Европы покупает комплектующие из разных стран мира, но собирает своё оборудование в городе ...

Часть европейских стран против ужесточение антироссийских санкций, затрагивающих реэкспорт Дипломатические представители выразили ряд опасений по поводу этих предложений, включая сомнения в их законности и целесообразности

Перезапуск завода Continental уже ощущается: в России заметно подешевели шины Летние и зимние шины в России стали дешевле, согласно данным Национального агентства промышленной информации (НАПИ). Если в марте средняя стоимость летних шин составляла 10,3 тысячи рублей, то в апреле она снизилась на 4,6%, до 9,9 тысячи рублей. За аналогичный период ц...

Шесть месяцев войны в Газе: Израиль, ХАМАС и международное сообщество в тупике Статья, опубликованная CNN, рассматривает шестимесячную войну в секторе Газа, фокусируясь на действиях Израиля и ХАМАСа, а также на реакции международного сообщества. Эксперты высказывают мнение о не реализуемости предложений о временном международном контроле безопасности н...

Выбран автомобиль 2023 года в России Omoda C5 признан автомобилем года в России, о чем пишут «Автоновости дня» со ссылкой на пресс-службу Omoda. Он побидителей в борьбе за титул Car of the Year, а также одержал победу в номинации «Среднеразмерный автомобиль / кроссовер». В состав жю...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

В 2026 году начнётся производство суперкара Quarkus P3 Третья разработка французской компании может дойти до стадии производства

Чтобы не везти «свежий воздух» из Китая. В России открылось новое производство пропеллеров для дронов В России начали выпускать отечественные пропеллеры для дронов. Производство наладили в Новосибирске, о чем рассказал исполнительный директор конструкторского бюро «Спектр» Андрей Братеньков. «Мы запустили производство пропеллеров для FPV-дронов в Новос...

АвтоВАЗ возобновляет серийное производство Lada Largus с ДВС с 15 мая. e-Largus начнут выпускать в третьем квартале АвтоВАЗ сообщил, что с 15 мая в Ижевске будет возобновлено серийное производство универсалов Lada Largus с бензиновыми моторами. Как пишет главный редактор журнала «За рулем» Максим Кадаков, в третьем квартале этого года на конвейер поставят электрический у...

Китайская SMIC вошла в пятёрку крупнейших производителей, обойдя по доходам Samsung и Intel Несмотря на усиливающиеся санкции, китайцы продолжают наращивать производство полупроводниковой продукции

Эксперт оценил возможность начала войны в Арктике: пока можно выдохнуть Российский военный эксперт поставил под сомнение возможность войны в Арктическом регионе, не исключив при этом вероятность периодических столкновений и провокаций. Его слова передает Лента.ру.

Производством раздаточных коробок для полноприводных грузовиков КамАЗ теперь занялся Тутаевский моторный завод Российский производитель грузовых автомобилей КамАЗ переносит производство раздаточных коробок, о чём сообщает инсайдерский телеграм-канал «Автопоток». КамАЗ переносит производство раздаточных коробок для полноприводных грузовиков. Теперь их выпуском будет з...

Байден запретил поставки урана из России в США. Это должно позволить устранить зависимость от РФ в сфере мирной ядерной энергетики США запретили поставки урана из России в Соединенные Штаты. Соответствующий закон подписал президент США Джо Байден. В заявлении, которое распространила пресс-служба Белого дома, говорится, что закон «запрещает импорт необлученного, низкообогащенного урана, произв...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Apollo Hospitals празднует 25 годовщину первой в Индии программы по трансплантации печени Apollo, самый крупный интегрированный поставщик в области здравоохранения в мире, выполнил 25-летнюю программу по трансплантации печени в Индии, проведя более, чем 4300 операций по трансплантации печени, включая 515 детей из более, чем 50 стран мира. Сегодня Apollo проводит ...

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

Новейший Subaru Forester будут выпускать в США. Гибридный Forester с силовой установкой Toyota появится лишь в 2026 году Subaru приняла историческое для себя решение наладить выпуск внедорожника Forester в США: с 1997 года, когда появилась эта модель, машины на североамериканский рынок доставляли из Японии. Фото: Subaru Новый Forester — ещё один гвоздь в крышку гроба производственн...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

На калужском заводе Samsung собираются наладить выпуск телевизоров и бытовой техники VVP Group Дистрибутор VVP Group планирует запустить производство телевизоров на калужском заводе Samsung (принадлежит ООО «Самсунг Электроникс Рус Калуга»), о чем пишет «Коммерсантъ». По словам одного из источников, компания может быть владельцем или аренд...

Financial Times: Вступление Украины в Евросоюз даст стране более 180 миллиардов евро финансирования Страна автоматически получит право участвовать в ряде программ помощи государствам-членам.

Маск подверг сомнению существование внеземной жизни Глава SpaceX Илон Маск выразил сомнения в существовании инопланетной жизни, несмотря на его активное участие в космических исследованиях.

Полупроводниковые санкции ударили по Европе и почти не повлияли на производство чипов в Китае При этом значительную выгоду получают компании из Южной Кореи

Китай возглавит развитие полупроводниковой промышленности, построив 18 новых заводов в 2024 году Мировые мощности по производству микросхем достигнут рекордных высот

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Китайская электроника: в КНР постепенно переходят на собственное ПО и чипы. Что происходит? КНР уже давно реализует программу развития отрасли разработки и производства электроники в стране. Причём достаточно успешно — настолько, что сейчас в ряде государственных учреждений планируется переход с чипов AMD и Intel на китайские процессоры. Кроме того, собираются в Ки...

LG начала производство зарядных устройств для электромобилей LG открыла свой первый завод по производству зарядных устройств для электромобилей в Форт-Уэрте, Техас. Это производство площадью 5481 кв метров способен выпускать до 10,000 зарядных устройств в год.

В РФ построили завод по производству материнских плат – ICL расширит мощности до 1 млн единиц Первоначально производство сможет выпускать 300 тысяч материнских плат

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Производство российских ESP запустят 19 декабря, а 18 декабря перезапустят завод «ПСМА Рус», на котором раньше выпускали Mitsubishi Outlander Под конец года состоится сразу несколько важных событий на российском автомобильном рынке: начнется выпуск отечественных систем ESP и возобновится работа калужского предприятия «ПСМА Рус», на котором ранее собирали Peugeot 408, Citroen C4, Peugeot Partner, C...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

ИТ-эксперт рассказал, как найти шпионские программы на смартфоне Эксперт по информационной безопасности рассказал, как найти шпионское ПО на смартфоне

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Chery расширяется: машины собираются выпускать не только в Италии, но в Испании Китайский автопроизводитель Chery стремится расширить свое присутствие на европейском рынке, о чем пишет Telegram-канал No Limits. Согласно появившимся данным, китайский автомобильный гигант планирует приобрести в Испании бывший завод Nissan, который прекратил свою деят...

В третьем квартале объёмы поставок настольных компонентов Intel сократились на 19% Но средняя цена реализации выросла на 6%.

Стал известен срок эксплуатации Российской орбитальной станции В компании «Энергия» рассказали о предполагаемых сроках использования РОС

Samsung удвоит инвестиции в производство полупроводников в Техасе По информации The Wall Street Journal, Samsung планирует удвоить свои инвестиции в Техасе, что станет огромным успехом для программы CHIPS администрации президента Байдена. Это приведет к общим инвестициям в чиповый сектор штата на сумму $ 44 миллиарда. Подтвержденное расшир...

Производство грузовиков на бывшем российском заводе Volvo разгоняется: уже выпускают по 9 машин в сутки В Калуге на заводе АМО (это бывший российский завод Volvo) начали выпускать больше машин: если поначалу производили около 5 машин в сутки, то сейчас объем производства достигает 9 машин в сутки, а в ближайших планах довести объем до 12 машин в сутки. На предприятии раб...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Exynos 2400 — действительно неплохая платформа, но с не лучшей энергоэффективностью. Тесты показывают, что потребление CPU довольно высокое Различные тесты уже доказали, что SoC Exynos 2400 действительно получилась достаточно неплохой на фоне Snapdragon 8 Gen 3. Однако свежие данные говорят о том, что всё же у платформы Samsung всё намного хуже с энергоэффективностью.  Если говорить только о процессор...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

В России запустят программу по борьбе с ожирением у детей и подростков Вице-премьер Татьяна Голикова объявила о запуске программы по борьбе с ожирением у детей и подростков, начиная с января 2024 года. Она включает в себя участие 11 федеральных органов, 6 ведущих федеральных учреждений и 4 национальных медицинских исследовательских центров, а т...

Путин предрекает сомнения в государственности Украины на фоне текущих событий Президент России Владимир Путин заявил о возможных сомнениях в государственности Украины на фоне текущих событий в зоне СВО, критиковал украинские власти за отказ от переговоров и упомянул о неподписанном мирном договоре в Стамбуле.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Роскошный внедорожник Toyota Century за пределами Японии будет фантастически дорогим. Названа стоимость Century для Китая Несмотря на то, что новейший роскошный внедорожник Toyota Century – это штучный продукт (выпускают всего по 30 единиц в месяц), компания готова выводить его за пределы домашнего рынка. И первой страной за пределами Японии, где стартуют продажи Century, может стать...

Хак-группа Chimera более 2,5 лет сохраняла доступ к сети полупроводниковой компании NXP Связанная с Китаем кибершпионская хак-группа Chimera (она же G0114) более двух лет похищала данные из корпоративной сеть компании NXP, производящей полупроводниковые компоненты, которые используются в смартфонах, смарт-картах и электромобилях.

Тайвань показывает зубы: производители чипов сталкиваются с ограничениями Тайвань усиливает защиту ключевых технологий страны. Так, правительство Тайваня обнародовало список из 22 технологий в пяти секторах производства (оборона, космос, сельское хозяйство, полупроводники и информационная безопасность ), которые будут дополнительно защищены от ут...

Давно пора на покой. Peugeot Pars (Peugeot 405) сняли с производства Iran Khodro (IKCO) снял с производство седан Peugeot Pars (рестайлинговый Peugeot 405), о чем сообщает инсайдерский телеграм-канал «Автопоток». «Проводы» состоялись раньше срока (первоначально Парс планировали снять с производства в апреле). Прек...

Япония опередила США по объёму государственных субсидий для отрасли чипов Согласно презентации, опубликованной на основании заседания подкомитета Совета по фискальной системе Японии — консультативной группы при Министерстве финансов — полупроводниковые устройства считаются критически важными товарами для внутреннего рынка. И это имеет значение как...

Эра бесплатного кода подходит к концу: эксперт предсказал его будущее Пионер открытого исходного кода Брюс Перенс не останавливается на достигнутом. В то время как движение, которое он помог создать, празднует свое 30-летие, Перенс смотрит в будущее, ставит под сомнение его ограничения и мечтает о лучшем для программного обеспечения: эре «Post...

Хеш-функция Стрибог. Особенности аппаратной реализации на System Verilog На просторах интернета есть несколько статей об алгоритме получения хеш-функции Стрибог (ГОСТ 34.11-2012), в том числе и на Хабре. Однако везде в качестве примера приводится реализация на языках программирования C, C#, Python и других. То есть идет последовательное выполнен...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Bybit представила перспективную концепцию Web3 Bybit, третья в мире по объему сделок криптовалютная биржа, представила перспективную концепцию Web3, направленную на создание децентрализованной экосистемы, которая будет более простой, открытой и одинаково доступной для всех. «Наша концепция Web3 — это реализация нашего об...

Костромская областная аптечная база запустила новую линию производства лекарств Костромская областная аптечная база внедрила новую линию по производству лекарственных препаратов, увеличив перечень выпускаемых медикаментов с 90 до 250 позиций, пишет РИА Новости.

США провалили санкции против Huawei и SMIC – Китай увеличил закупку литографов в Европе на 96% Санкции работают, но совсем не так, как надеялись в Белом доме

Nvidia теперь уступает только Apple. Компания стала вторым по величине клиентом TSMC Компания Nvidia так разрослась благодаря буму на искусственный интеллект, что в итоге стала вторым по величине клиентом TSMC.  Согласно свежим данным, в 2023 году на долю Nvidia пришлось 11% всей выручки TSMC — крупнейшего в мире производителя полупроводнико...

США выделят миллиарды на производство передовых полупроводников, Intel, Samsung и TSMC Ожидается, что администрация президента Джо Байдена в ближайшие недели выделит миллиарды долларов в виде субсидий ведущим полупроводниковым компаниям, включая Intel, чтобы помочь построить новые заводы в США. Это должно дать толчок производству передовых полупроводников...

Российский эксперт назвал «бестелесное» создание сценариев фильмов Искусственный интеллект (ИИ) становится неотъемлемой частью процесса создания текстов в сфере творческих профессий. Согласно эксперту Национальной технологической инициативы (НТИ) Александру Сиволобову, теперь представители этих профессий всё чаще делегируют основную работу ...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Китайские хакеры атаковали производителей полупроводников в Восточной Азии Эксперты заметили, что китайские хакеры атакуют полупроводниковые компании в Восточной Азии с помощью приманок, связанных с тайваньской TSMC. Таким способом злоумышленники доставляют в системы жертв маяки Cobalt Strike.

Раскрыто, в каких странах у женщин самые большие ягодицы В рамках нового исследования эксперты составили список из 17 стран по среднему размеру женских бёдер. И первое место заняли вовсе не США.

«Коварный» китайский полупроводниковый всплеск: как Huawei обошла санкции США в 2023 году Вопреки всему Huawei выходит победителем в технологической «войне», преодолевая санкции США и выпуская Kirin 9000S, отмечают эксперты. Санкции Трампа в прошлом вынудили Huawei положиться на местных чипмейкеров, и теперь китайские инвестиции в чипы окупаются: международная ко...

АвтоВАЗ опроверг информацию о выпуске Lada Vesta без подушек безопасности АвтоВАЗ опроверг ранее опубликованную информацию о том, что завод начал выпускать автомобили Lada Vesta без подушек безопасности. Об этом «Ъ-Волга» сообщили в пресс-службе предприятия. «Подтверждаем информацию о возобновлении производства в две смены. ...

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

КамАЗ приступил к работе в новом 2024 году. Предприятие поделилось планами Главный сборочный конвейер КамАЗа сегодня, 9 января, снова заработал после приостановки производства на период январских праздников. В этом месяце завод планирует выпустить более 3,3 тыс. автомобилей. В 2024 году компания продолжит реализацию проектов, ориентированных н...

Samsung начнет пилотное производство полупроводниковых стеклянных подложек в этом году Samsung готовится начать пилотное производство полупроводников на стеклянных подложках в этом году, конкурируя с Intel и другими компаниями

«Москвичи» станут полностью российскими в 2029 году. Дольше всего будут локализовывать руль Сергей Цыганов, автоэксперт и ведущий Telegram-канала «Русский автомобиль», раскрыл подробности о программе локализации «Москвичей» – эти данные были озвучены на прошедшей вчера конференции поставщиков завода. Оказывается, локализовывать &l...

Фотографии китайского процессора HiSilicon Kirin 9000s производства Huawei озадачили экспертов Процессор стал основой для смартфона Mate 60 Pro

«Cамый доступный российский легковой автомобиль на высокотехнологической платформе» Lada Iskra уже задерживается Президент компании АвтоВАЗ Максим Соколов подтвердил смещение сроков начала серийного производства Lada Iskra на заводе в Тольятти. «Ещё новая модель — это автомобиль Lada Iskra. Старт её производства запланирован на самое начало 2025 года и это будет с...

«Я хорошо знаком с ОС Astra Linux и пакетом "МойОфис" и не вижу никаких трудностей при переходе на них с Windows и Microsoft Office», — Александр Хинштейн подтвердил импортозамещение ПО в Госдуме Глава комитета Госдумы по информполитике подтвердил, что в нижней палате парламента РФ произойдёт импортозамещение программного обеспечения. Программа импортозамещения в нижней палате инициирована лично председателем Госдумы Вячеславом Володиным. Российские разработчики...

Представитель InSmartBase рассказал о перспективах развития ИИ в рамках III Форума «Инжиниринг и проектирование» 9 апреля 2024 года в Москве на площадке Movenpick Moscow Taganskaya состоялся третий форум «Инжиниринг и проектирование», в рамках которого эксперты и ведущие игроки рынка обсудили широкий круг тем в сфере развития проектно-инженерной отрасли. Компания InSmartBase выступила ...

Захарова: Россия не пригласила на парад Победы представителей недружественных стран РФ не стала направлять приглашения на парад Победы послам и официальным лицам недружественных стран, чья политика в отношении России выглядит агрессивной.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Без людей пока не обойтись: производство Chery Tiggo 8 Plus, Chery Tiggo 8 Pro Max и Jaecoo J8 на заводе в Уху показали на живых фото Журналистов пригласили на заводе по производству Chery в городе Уху, где Сергей Захаров сделал несколько интересных фотографий и прокомментировал увиденное. Своими глазами наблюдали за тем, как по частицам собирают Chery Tiggo 8 plus, Chery Tiggo 8 Pro Max и Jaecoo J8....

Пять устройств Apple, которые не выйдут 2024 году Многие эксперты называют 2024 год знаковым для Apple. Это связано с тем, что компания впервые за достаточно продолжительное время выпускает на рынок совершенно новый продукт — гарнитуру Vision Pro. Кроме этого купертиновцы планируют кардинально обновить iPad и Apple Watch. П...

Министр торговли США предостерегла Nvidia от создания специальных чипов для Китая и заодно попросила дополнительного финансирования для своего бюро Компания Nvidia уже достаточно давно и успешно выпускает для рынка Китая специализированные ускорители, которые не попадают под санкции США. В последнее время список санкционных GPU сильно расширился, и Nvidia взялась создавать новые ускорители и даже потребительскую ви...

В Самарском университете имени Королева открылся национальный центр аддитивного производства Новая площадка войдет в создаваемую национальную сеть технологических центров аддитивных и сопутствующих технологий. Это второй подобный центр в России, первый открылся в ноябре 2022 года на базе Технологического университета имени Леонова в подмосковном Королеве.

Intel построит в Израиле фабрику чипов за $25 млрд Новый завод по производству чипов, получивший название Fab 38, должен открыться в 2028 году и проработать до 2035 года, внеся значительный вклад в развитие израильской полупроводниковой индустрии.

ASML поставила Intel литографическое оборудование на $300 млн ASML, голландский гигант по производству полупроводникового оборудования, объявила о поставке своих новейших систем экстремальной ультрафиолетовой литографии «High NA» другому технологическому титану Intel.

Эксперт назвал срок выхода нового iPhone SE Этого ждут все.

Эксперт назвал сроки выхода нового iPad mini Режим «ждуна» активирован.

США выделяют грант в 6,4 миллиарда компании Samsung для расширения производства чипов По мнению американских чиновников, за счет развития компании США получат свыше 21 500 рабочих мест в стране

США призвала прочие страны усилить давление на Китай Похоже, противостояние США и Китая накаляется! Вашингтон активно призывает своих союзников, включая Нидерланды, Японию, Германию и Южную Корею, усилить ограничения на доступ Китая к передовым полупроводниковым технологиям.

Япония включается в мировую гонку по производству микросхем Правительство Японии выделяет на поддержку отечественной полупроводниковой промышленности 13,3 миллиарда долларов.

Евросоюз согласовал правила для ИИ Страны Евросоюза и Европарламент согласовали правила, которые регулируют системы искусственного интеллекта (ИИ), включая популярный чат-бот ChatGPT. Как пишет агентство Reuters со ссылкой на источник, знакомый с ходом переговоров, страны Евросоюза хотят использовать воз...

Азиатские производители электроники нацелились на российский госсектор образования: Hisense планирует локализовать в РФ выпуск интерактивных панелей Hisense, известная своими телевизорами, планирует локализовать производство интерактивных панелей для образовательных учреждений в России. Этот шаг необходим для участия в государственных тендерах в рамках национального проекта «Образование», о чем пишет &la...

Национальная бизнес-премия: Константин Резанов стал предпринимателем года в технологическом бизнесе В Москве 20 апреля прошла торжественная церемония вручения Национальной Премии в области бизнеса и культуры «Национальная бизнес премия: ТОП 20 успешных бизнес-проектов». Учредителем премии является главный редактор журналов Melon Rich и Finance Times Светлана Листопадова, к...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Трамп критикует союзников по Нато и сомневается в их поддержке в случае конфликта Бывший президент США Дональд Трамп выразил сомнение в поддержке стран НАТО в случае нападения на США, критикуя альянс и его действия на мировой арене.

Intel и UMC объявили о сотрудничестве в рамках разработки 12-нм чипа Intel и United Microelectronics Corporation (UMC) объявили о совместном сотрудничестве в разработке 12-нм техпроцесса. Это партнерство будет использовать крупномасштабные производственные мощности в США и опыт в производстве полупроводниковых пластин.

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

Учёный утверждает, что взломал шифрование RSA-2048 Доктор Эд Герк из Planalto Research уверяет, что нашёл способ взлома новейших алгоритмов шифрования, для реализации которого будет достаточно смартфона.

NASA: программа по возвращению образцов с Марса на грани из-за бюджета NASA вынуждена внести изменения в свои планы программы возвращения образцов с Марса (MSR) из-за предстоящих сокращений федерального бюджета. Опасения по поводу высоких расходов и нереалистичных сроков программы заставили Лабораторию реактивного движения (JPL) NASA сократить ...

Запуск миссии "Polaris Dawn" перенесен на апрель 2024 года Миллиардер Джаред Айзекман сообщил об очередной задержке в реализации программы

Против самой большой атомной стройки в мире предпринимаются «подковерные шаги», чтобы «Аккую» не была построена Министр энергетики Турции Алпарслан Байрактар заявил, что несмотря на возникающие проблемы и препятствия, первый энергоблок АЭС «Аккую» всё же планируют ввести в эксплуатацию в 2024 году. «Турция ставила себе цели в атомной энергетике с 1950-х годов. П...

Samsung Care Plus предлагает бесплатную замену батареи Владельцы устройств Samsung Galaxy, которые пользуются программой Samsung Care Plus, сегодня получили порцию достаточно хороших новостей, так как компания решила пересмотреть свою программу ремонта устройств. Суть в том, что начиная с мая 2024 года программа Samsung Care Plu...

Стилер из торрентов: как скачивание пиратской программы привело к заражению сотен тысяч пользователей В конце лета 2023 года наш SOC с помощью системы мониторинга событий ИБ MaxPatrol SIEM зафиксировал аномальную сетевую активность. После этого привлекли нас, команду по расследованию инцидентов (PT CSIRT). Как выяснилось, пользователь одной из российских компаний был скомпро...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Всё население Казахстана обеспечат высокоскоростным интернетом к 2027 году В Казахстана решили, к 2027 году обеспечить высокоскоростным интернетом обеспечить высокоскоростным интернетом. Правительство утвердило национальный проект «Доступный интернет». «Нацпроект принят во исполнение поручений главы государства Касым-Жомарта ...

Белоруссия запретила ввозить автомобили Skoda, как минимум, до конца 2024 года Белоруссия продлила запрет на ввоз машин Skoda и косметики Nivea до конца 2024 года Постановление вступает в силу 5 ноября Белоруссия продлила до конца 2024 года запрет на ввоз и реализацию в стране продукции компаний нескольких стран, которые ввели санкции в отношении ...

Tinder введет проверку личности в еще большем количестве стран Tinder объявил о расширении своей программы верификации личности для пользователей в США, Великобритании, Бразилии и Мексике. Эта программа служит дополнительным шагом для подтверждения подлинности профилей на приложении знакомств и получения голубой верификационной галочки.

Security Week 2405: криптостилер для Mac OS На прошлой неделе исследователи «Лаборатории Касперского» опубликовали подробный разбор вредоносной программы для компьютеров Apple. Это свежее семейство распространяется вместе с пиратскими дистрибутивами популярных программ и, помимо прочего, крадет криптокошельки жертв. П...

Wildberries готовится выйти на рынки стран Персидского залива и Средней Азии Глава компании Wildberries Татьяна Бакальчук рассказала журналистам, что сейчас маркетплейс планирует расширять географию присутствия, это необходимо для того, чтобы продолжать сохранять рост. В первую очередь, рассматриваются страны, граничащие с Россией, и страны СНГ,...

Гендиректор Nvidia: США нужно 20 лет на «импортозамещение» микросхем В своем откровении генеральный директор Nvidia Дженсен Хуанг заявил, что США потребуется 20 лет борьбы за «свободу» от зарубежного производства чипов. Это заявление ставит под сомнение усилия президента США Джо Байдена по переносу производства микросхем из других стран.

В России создан недорогой материал на основе нитрида индия и кремния для ИК-технологий Новый полупроводниковый материал обещает революцию в производстве устройств ближнего ИК диапазона благодаря высокой эффективности и низкой стоимости.

TSMC рассматривает возможность строительства в Японии третьего предприятия Оно смогло бы выпускать 3-нм чипы.

42 модели смартфонов Samsung получат One UI 6.1. Список Ресурс Sammobile опубликовал перечень смартфонов Samsung, которые получат оболочку One UI 6.1 на базе Android 14. Список неофициальный – он составлен на основе заявленных Samsung сроков выхода обновлений для той или модели. Изображение: Samsung Выглядит перечень ...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Полупроводниковая промышленность в США стоклнулась с экологическим противостоянием Министр торговли США Джина Раймондо выступила с суровым предупреждением: гонке за укрепление полупроводниковой промышленности могут помешать экологические проверки, что может задержать важнейшие проекты на годы.

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

Власти США хотят запретить голландской ASML обслуживать поставленные ранее в Китай литографы А между тем экспортная лицензия ASML истекает 31 декабря 2024 года

Нидерланды инвестируют $2,7 млрд в инфраструктуру региона Эйндховен ради ASML Власти Нидерландов пошли на беспрецедентные инвестиции в инфраструктуру в ответ на угрозу ведущей полупроводниковой компании ASML покинуть страну - дороги, поезда и образование включены в пакет.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

В Белоруссии взлетели продажи новых машин, Geely — абсолютный хит: за два месяца автомобилей Geely продано в 4,5 раза больше, чем Lada Белорусская автомобильная ассоциация (БАА) сообщила о том, что в феврале в стране было продано 2699 легковых автомобилей — в 2,2 раза больше, чем в феврале 2023 года. А по итогам первых двух месяцев в Белоруссии продали 4581 новый автомобиль, и это рост в 1,8 раза...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Основатель TSMC рассказал о возрождении в Японии производства чипов Основатель тайваньской полупроводниковой компании TSMC Моррис Чанг побывал в качестве почётного гостя на церемонии открытия первого завода компании в Японии. По его словам в Японии произойдёт «ренессанс чипов».

Санкции США привели к резкому росту инвестиций в полупроводниковую отрасль КНР США вводят новые запреты на экспорт в Китай технологий для производства микросхем, а в это время Пекин десятки миллиардов на наращивание собственных мощностей

Canon представила коммерческую установку, позволяющую «печатать» 5-нанометровые чипы без фотолитографии Canon представила установку под обозначением FPA-1200NZ2C, позволяющую производить 5-нанометровые чипы. Это первое коммерческое решение такого рода, но будут и другие: Canon в будущем доработает систему так, чтобы с ее помощью можно было производить 2-нанометровые чипы....

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Нидерланды сделают все, чтобы оставить ASML в стране: миллиардные инвестиции и всесторонняя помощь Привет, Хабр! Недавно мы опубликовали статью «ASML собирается уйти из Нидерландов. Производитель литографов целится на международный уровень». В ней говорилось о том, что производитель самых современных литографов в мире планирует перенести штаб-квартиру из Нидерландов из-з...

Бывший российский завод Bridgestone будет выпускать премиальные шины Бывший завод Bridgestone в Ульяновске перезапустит производство шин до конца 2024 года, о чем пишет «Автопоток» со ссылкой на Вадима Володина. Гендиректор компании «Кордиант» Вадим Володин заявил журналистам, что в 2025-м бывший завод Bridgestone...

Начались продажи планшета Samsung Galaxy Tab S6 2024 на новом процессоре Samsung выпускает третье поколение одного планшета

АвтоВАЗ снова работает на склад: третий день Granta сходят с конвейера в некомплектном состоянии Конвейер АвтоВАЗа не останавливается и по выходным, но новости грустные: уже даже Granta выпускают некомплектные. «Третий день автомобили Granta сходят с конвейера в некомплектном состоянии, отсутствуют подушки безопасности, складируются на территории автозавода&...

Компания Ford пообещала никогда не выпускать электрический Mustang или делать из него внедорожник. Правда, такая машина уже есть в линейке Ford Компания Ford заявила, что никогда не выпустит Mustang, который не будет «Мустангом».  Генеральный директор Ford Джим Фарли (Jim Farley) в интервью Autocar рассказал о планах компании на культовый поникар. Начал он с того, что Mustang стал самым продава...

Китайские хакеры похитили проекты микросхем у крупнейшей голландской полупроводниковой компании преступники скрывались более двух лет, чтобы похитить интеллектуальную собственность в области производства микросхем

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Путин поручил организовать производство игровых приставок в России Президент Российской Федерации Владимир Путин дал указание правительству рассмотреть возможность организации производства игровых приставок и консолей на территории страны. По данным, полученным с сайта Кремля, срок исполнения поручения назначен на 15 июня 2024 года.

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Аналитики спрогнозировали сроки запуска BTC ETF Эксперты поделились с редакцией Сrypto.ru мнением относительно запуска спотовых биткоин-ETF в США

Глава Toyota боится, что компания вернётся к созданию скучных автомобилей Акио Тойода, председатель правления Toyota, испытывает беспокойство по поводу возможного возвращения компании к стереотипной скучности. В отличие от типичных автомобильных руководителей, Тойода не только управляет компанией, но и искренне любит автомобили. Он активно уч...

Запуск первого в России производства квантовых процессоров Московский государственный технический университет им. Н. Э. Баумана в партнерстве с Всероссийским научно-исследовательским институтом автоматики им. Н. Л. Духова анонсировали запуск первого в России контрактного производства сверхпроводниковых квантовых процессоров, что ста...

Как быстро Украина и США смогут запустить совместное производство снарядов Министр стратегической промышленности Украины Александр Камышин назвал сроки запуска совместного с США производства снарядов.

Украина в короткие сроки потеряла сразу три танка Leopard 2 Украина потеряла три танка Leopard 2, что стало самой значительной потерей танков западного производства с момента начала контрнаступления Киева в июне. Об этом сообщает обозреватель Forbes Дэвид Экс, ссылаясь на данные аналитика Эндрю Перпетуа.

Проект сборки и производства российских автомобилей Lada в Эфиопии находится в стадии проработки В Эфиопии готовятся организовать выпуск автомобилей Lada, соответствующий проект сборки прорабатывается. об этом рассказал посол РФ в Аддис-Абебе Евгений Терехин. Он отметил, что машины Lada хорошо зарекомендовали себя в Эфиопии, доказав свою надежность и неприхотливост...

Рыночная капитализация Nvidia уже больше, чем ВВП России Стремительный рост Nvidia сделал ей не только третьей по величине компанией в США по рыночной капитализации, но и крупнее, чем экономика большинства других стран по валовому внутреннему продукту. В пятницу акции производителя чипов закрылись на отметке $788,17, что соот...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

МегаФон подключил к сотовой сети 24 поселения Псковской области Реализация программы осуществлялась в рамках проекта «Устранение цифрового неравенства».

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

США запретят ввозить в страну телекоммуникационное оборудование, самостоятельно сертифицированное Huawei Как всегда, под предлогом угрозы для национальной безопасности.

МИД Украины: Европа не умеет воевать, у них проблемы с наращиваем производства оружия В европейских странах до сих пор не могут наладить производство боеприпасов до уровня, способного удовлетворить украинские потребности.

Инсайдер: разработка Apple Car застопорилась Долгожданный автомобиль Apple Car, похоже, снова столкнулся с проблемами. Известный аналитик Минг-Чи Куо в своем Twitter выразил сомнения в будущем проекта, указав, что без стратегии выхода на автомобильный рынок массовое производство Apple Car в ближайшие несколько лет пред...

КНР удваивает усилия по созданию своих станков для производства полупроводников На фоне проводимой Вашингтоном политики ограничения доступа Пекина к современным западным станкам, необходимым для развития полупроводниковой промышленности, Китай к концу 2024 года намеревается на 80 % заменить их на отечественные.

Лунная миссия Artemis 3 может быть задержана до 2027 года Астронавты NASA могут отправиться на Луну значительно позже, чем ожидалось. По информации Государственного бюджетного контроля США (GAO), миссия Artemis 3, запланированная на декабрь 2025 года, возможно, будет перенесена на 2027 год из-за «множества сложностей&raq...

Сумро: мировой эксперт рассказал о возможностях молодежи в науке  Стоя перед камерой, 67-летний Манзур Хуссейн Сумро (Manzoor Hussain Soomro) горел энергией. Говоря о роли молодых людей в реализации проекта «Пояс и путь», он с явным воодушевлением посмотрел на присутствующих волонтеров. «За ними будущее», — сказал он. «Они более энергичны,...

В Южной Корее арестовали бывших сотрудников Samsung за слив коммерческих секретов Они передал своему новому работодателю коммерческие секреты, связанные с производством и технологией нанесения полупроводниковых покрытий

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Чем разработчику заняться на PHDays Fest 2: наш гайд по программе технического трека С 23 по 26 мая в «Лужниках» пройдет Positive Hack Days Fest 2. Традиционно эксперты сообщества POSIdev помогли сформировать секцию для разработчиков в профессиональной программе фестиваля. Мы будем говорить о языках программирования, создании платформ, инжиниринге данных, ме...

«Москвич» празднует свой первый день рождения. Завод планирует начать поставки машин в другие страны Возрожденный завод «Москвич» празднует свой первый день рождения. Производство городского кроссовера «Москвич 3» стартовало ровно год назад. О достижениях за этот год и о планах на будущее рассказал Олег Масляков, директор по производству автозав...

Канада пришлёт Украине $12 млн на производство беспилотников и боеприпасы Канада предоставит Украине 3 миллиона долларов на поддержку производства беспилотников на территории страны, говорится в заявлении министра национальной обороны Канады Аниты Ананд. Его слова передает Лента.ру. Интересно, что это не единственные запланированные траты канадско...

Словения стала частью планов NASA по Луне и другим ресурсам космоса Словения «преисполнилась» в своих космических амбициях, подписав соглашение с NASA. Этот шаг, последовавший за Швейцарией и Швецией, делает Словению третьей европейской страной за неделю, присоединившейся к международному соглашению о «мирном освоении космоса».

Завод «Лада Санкт-Петербург» за полгода выпустил «более 100 автомобилей». На полную мощность он выйдет в 2024 году Завод «Лада Санкт-Петербург», который ранее принадлежал компании Nissan, пока ещё не работает на полную мощность. По информации, предоставленной президентом «АвтоВАЗа» Максимом Соколовым на мероприятии «Баркемп-2023. Национальная технологич...

Сроки поставок ИИ-ускорителей Nvidia H100 сократились до минимальных за все время При этом некоторые эксперты прогнозируют усугубление дефицита

Россиянам рассказали, как ИИ увеличит эффективность работы преподавателей ИИ поможет сократить время работы преподавателей в 10 раз, считает эксперт Национальной технологической инициативы (НТИ) SafeNet

Компания «Аддитивный инжиниринг» на четверть нарастила объем выпускаемой продукции Нарастить производство резиденту особой экономической зоны «Технополис Москва» удалось за счет расширения парка промышленных 3D-принтеров и внедрения новых технологий 3D-печати.

В Узбекистане хотят собирать по 500 000 машин BYD к 2027 году. Локализацию планируют довести до 60% BYD планирует выпускать на заводе BYD Uzbekistan Factory до 500 тыс. электромобилей и гибридных автомобилей к 2027 году. Об этом сообщил заместитель директора BYD Uzbekistan Factory Диёр Мухамедов. Ранее стало известно, что BYD создаст на территории Узбекистана предприя...

Apple планирует производить 25% всех iPhone в Индии Apple и её поставщики, включая Foxconn, готовятся резко увеличить производство iPhone в Индии, планируя ежегодно выпускать более 50 миллионов единиц в течение следующих 2-3 лет. Этот амбициозный план повысит роль Индии в мировом производстве iPhone, на которую будет приходит...

Объёмы поставок ПК в третьем квартале сократились на 9% По мнению экспертов Gartner.

Саудовская Аравия в этом году по-крупному вложится в полупроводниковый бизнес А к 2040 году станет ведущей аэрокосмической державой.

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Google выпускает Android 15 Beta 1 для Pixel Разработчики сделали ряд обновлений, которые будут доступны участникам программы Android Beta Program.

CNBC: Sony PlayStation 5 Pro выйдет в этом году Такое утверждение высказывает аналитик из CNBC

Европейская страна уничтожит американскую норку из-за угрозы другим животным В Великобритании ожидают заседания скандального и достаточно противоречивого суда касательно полного выведения в стране инвазивной американской норки, из-за которой под угрозой исчезновения оказались другие животные.

CEO Nvidia: для создания независимой электронной отрасли США понадобится около 20 лет На конференции DealBook New York Times генеральный директор Nvidia Corp. Дженсен Хуанг заявил, что США, вероятно, понадобится пара десятков лет для того, чтобы стать независимыми в поддержании собственной цепочки производства и поставок чипов. Руководитель Nvidia затронул...

Южная Корея хочет стать мировой державой в производстве чипов Юн Сок Ёль, президент Южной Кореи, хочет сделать страну сверхдержавой в производстве полупроводников. Южная Корея инвестирует в индустрию миллиарды.

Решение Путина баллотироваться на новый срок поднимет боевой дух военных на 1000% - Жога Артёма Жогу назвала "инициатором" выдвижения российского президента на третий срок.

Решение Путина баллотироваться на новый срок поднимет боевой дух военных на 1000% - Жога Артёма Жогу назвала "инициатором" выдвижения российского президента на третий срок.

В России создали безмасочный нанолитограф Ученые Санкт-Петербургского политехнического университета Петра Великого (СПбПУ) создали две установки, которые позволят «решить вопрос технологического суверенитета России в этом направлении в сфере микроэлектроники». Это установка безмасочной нанолитографи...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Одной новой Hyundai Sonata дело не ограничится. В Китае будут выпускать и новый «пиксельный» Hyundai Santa Fe, и новый Hyundai Tucson L Пару дней назад в Китае стартовали продажи нового седана Hyundai Sonata, производство которого наладили на предприятии Beijing Hyundai, но, как оказалось, это будет не единственная новинка на конвейере пекинского завода корейской компании: до конца года гамма новин...

Самые безопасные и безаварийные авто 2023 года: лидирует Chevrolet Niva Команда популярного сервиса частных объявлений «Авито» поделилась интересной статистикой, полученной от сервиса проверки истории автомобилей «Автотека» (проект «Авито»). Эксперты рассказали, какие модели автомобилей с пробегом возраст...

В России стартовал Международный форум «Атомэкспо-2024» На территории парка науки и искусств «Сириус» стартовал 3-й Международный форум «Атомэкспо-2024». Главной темой станет обсуждение перспектив создания чистой энергии и стратегии развития в этом направлении. Участвовать в мероприятии планируют ведущие эксперты и специалисты из...

Microsoft начала приглашать пользователей к тестированию ИИ-защитника для Windows Microsoft взялась за реализацию новаторского проекта в области кибербезопасности, представив программу раннего доступа для своего ИИ-ассистента Security Copilot.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Мали прекращает соглашение с повстанцами и запускает национальную программу по примирению В четверг правительство Мали расторгло мирное соглашение с повстанцами-туарегами

Автомобили Lada существенно подорожали для всех, даже для «своих» На прошлой неделе АвтоВАЗ существенно поднял цены на свои автомобили, больше остальных подорожали Lada Niva Travel и Lada Niva Legend. Как оказалось сейчас, одновременно подорожали автомобили, которые АвтоВАЗ предлагает своим работникам со скидкой в 10%. Так что «...

Xiaomi опередила Apple, Samsung и всех остальных конкурентов по темпам роста и впервые попала в топ лидеров рынка планшетов В третьем квартале 2023 года планшеты Xiaomi впервые попали в пятерку лидеров с годовым темпом роста 119,7%, о чем говорится в исследовании Canalys. Xiaomi стала лидером по темпам роста. Согласно отчету, производители смартфонов также активизировались на рынке планшетов...

iPhone 15 Pro Max стоит всего 558 долларов Сегодня появилась информация о том, что смартфон iPhone 15 Pro Max стоит 558 долларов. Точнее, это его себестоимость — всех компонентов и сборки. На фоне этой новости многие пользователи высказывают весьма негативную реакцию, потому что смартфон стоит в официальном магазине ...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Видеоигры с государством. Что нам стоит видеоигровую индустрию снова построить? Мы уже разобрали ряд тем, связанных с поддержкой видеоигровой индустрии в России. На этот раз в одном материале я собрал информацию о том, что получают студии, которые остались в России, на какие меры поддержки претендуют разработчики, какие гранты можно получить. А так...

Asia Times: План Трампа по ослаблению доллара - отличный подарок Китаю Дональд Трамп в свой второй срок намерен сделать девальвацию национальной валюты "снова великой".

Ростех усовершенствовал производство оптического волокна Эксперты холдинга «Швабе» Госкорпорации Ростех модернизировали оборудование для производства оптического волокна. Это позволило создать образцы с усовершенствованными характеристиками, которые обеспечивают высокое качество передачи сигнала.

Раскладушка Xiaomi уложит на лопатки Samsung Galaxy Z Flip и всех остальных конкурентов? Смартфону приписывают огромный для этого класса аккумулятор Компания Xiaomi уже какое-то время выпускает складные смартфоны, но все они имеют форм-фактор книжки. Согласно данным инсайдера Digital Chat Station, компания готовит свою первую раскладушку.  Смартфон якобы получит SoC Snapdragon 8 Gen 3, то есть будет флагманом....

"Закон о чипах" заставляет азиатских производителей полупроводников переносить свои мощности в США Закон о чипах привлекает инвестиции в производство полупроводниковой продукции на территории Америки, обещая 39 миллиардов долларов США в виде льгот на производство в дополнение к 25%-ным инвестиционным налоговым кредитам.

SMIC готовится к разработке 3 нм узла, требуя субсидий от правительства Китая SMIC, крупнейший китайский производитель полупроводников, по сообщениям, собирает специальную команду для разработки технологии 3 нм полупроводниковых узлов, после того как появились сообщения о том, что компания наладит производство 5 нм чипов для Huawei в конце этого года

В России будут собирать новейший внедорожник Jetour Т2. Компания планирует продать до 50 000 машин в 2024 году К концу 2024 года в Калининграде начнутся выпускать семейный кроссовер X70 Plus, а в следующем году стартует производство новейшего внедорожника Т2. Кроме того, Jetour планирует продать в России в этом год до 50 тыс. машин, но не мене 30 тыс. единиц, о чем сообщил &laqu...

Импортозамещение по-американски: развертывание собственного производства полупроводников идет не совсем по плану В 2022 году мы опубликовали статью «Импортозамещение по-американски: крупнейшие производители полупроводников мира строят фабрики в США». В ней говорилось о том, что американцы собираются нарастить свое присутствие на глобальном рынке полупроводниковых элементов. Дело в том...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Эксперт назвал точные сроки выхода четырёх новых моделей iPad Apple пришлось перенести релиз на месяц из-за провала поставщиков.

Мошенники стали чаще обманывать граждан под предлогом «продления срока действия SIM-карты» Об этом сообщили эксперты "Лаборатории Касперского"

Путин распорядился подключить к высокоскоростному интернету 97% домохозяйств Президент России Владимир Путин распорядился обеспечить возможность высокоскоростного подключения к интернету не менее 97% домохозяйств к 2030 году. Программа реализуется в рамках реализации нацпроекта «Экономика данных». Об этом говорится в перечне поручени...

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

Были Bosch — стали «Метеор»: в России начали выпускать свечи зажигания для мощных машин На бывшем заводе «Роберт Бош Саратов» (ныне предприятие называется «Энгельс Свечи зажигания») начали выпускать свечи зажигания Meteor с драгоценными металлами. Такие подходят для мощных автомобилей. Изображение: Meteor Auto Как ра...

Статистика путешествий туристов из РФ от МегаФон В 2024 году провести майские праздники за границей решили на 13% больше россиян, чем в прошлом году. Россияне стали больше внимая уделять Азии и странам Африки, а популярность стран СНГ и Южной Америки немного снизилась. Данные предоставлены аналитиками МегаФона на основе об...

Япония движется в авангарде полупроводниковых технологий — Токио нацелен преодолеть рубеж в 1 нм Амбициозная "дорожная карта" Токио по производству передовых микросхем нацелена на преодоление рубежа в 1 нанометр

Что выпускает бывший российский завод заводе Peugeot-Citroёn: новые детали Издание Autonews опубликовало дополнительное подробности о первой модели автомобиля, который собирают на заводе «ПСМА» в Калужской области, где ранее выпускали автомобили Peugeot, Citroen, Opel и Mitsubishi для России. Вчера мы уже писали, что речь идёт о кр...

IBM продолжает выпускать и поддерживать мэйнфреймы. Кто с ними до сих пор работает и где брать специалистов? На Хабре не раз и не два писали про старые технологии, которые до сих пор в ходу — от дискет и языка программирования COBOL до винтажных ноутбуков, ОС и тому подобных артефактов прошлого. Сегодня поговорим о мэйнфреймах, которые ранее были достаточно популярными в корпоратив...

Представлен совершенно новый Skoda Superb 2024 Спустя ровно месяц с премьеры Kodiaq 2024 Skoda представила и совершенно новый Superb. Самая главная новость: в отличие от Passat 2024, который теперь представлен исключительно кузовом универсал, у Superb два варианта исполнения – лифтбэк и универсал. Дизайн све...

«Главстрой»: Покупатели квартир в ЖК «Новые Академики» смогут воспользоваться новой льготной программой Компания «Главстрой» анонсировала запуск новой льготной программы для специалистов IT-сферы, которые интересуются приобретением квартиры в ЖК «Новые академики». Партнером компании выступает банк ВТБ. Ставка по ипотеке составит лишь 5% на весь срок кредита (от 1 до 30 лет) пр...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

В России просели продажи китайских автомобилей: сильнее всех позиции сдала Geely В апреле 2024 года продажи китайских автомобилей в России продемонстрировали снижение по сравнению с мартом. Лишь немногие бренды из Поднебесной смогли нарастить реализацию машин относительно предыдущего месяца. К такому выводу пришли эксперты банка «Открытие&raqu...

В Евросоюзе собираются начать финансировать оборонную промышленность за счет ЕИБ Европейский Инвестиционный Банк пока не спонсирует производство оружия и взрывчатых веществ, а для принятие данной инициативы необходимо согласие всех стран

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Самые надёжные BMW в истории. Составлен новейший рейтинг по версии HotCars Автомобильное издание HotCars использовало данные о надежности, техническом обслуживании и ценах автомобилей из JD Power, Kelley Blue Book (KBB), Edmunds, RepairPal, CarEdge и Consumer Reports (CR), чтобы составить рейтинг из 13 самых надежных моделей BMW на рынке подер...

Масс-спектрометр Peregrine PITMS, созданный для анализа лунной атмосферы, пережил возвращение на Землю С миссией Peregrine связывались большие надежды в отношении научных задач в том числе, изучении состава сверхтонкой лунной атмосферы. Однако, миссия столкнулась с неожиданными сложностями сразу после выхода в открытый космос. Утечка топлива на посадочном модуле стала пр...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Правительство США выделило $ 1.5 млрд для поддержки производства полупроводников Администрация президента США объявила о выделение $ 1.5 миллиарда американской компании GlobalFoundries, ставшего одним из первых крупных получателей грантов в рамках программы по оживлению производства полупроводников в стране.

Из-за России США отказываются от многомиллиардного проекта FARA, на который ушло более 6 лет Спустя шесть лет после начала реализации армия США свернула программу Future Attack Reconnaissance Aircraft (FARA) по разработке вооружённых вертолётов-разведчиков нового поколения

Китай успешно запустил первый интернет-спутник Weixing Hulianwan Gaogui-01 С космодрома Сичан в провинции Сычуань был успешно осуществлён запуск китайского спутника связи Weixing Hulianwan Gaogui-01, что стало значительным шагом в развитии космической программы страны и укреплении её позиций в мировой космической гонке.

Начались утечки с производства iPhone 16: новые цвета уже вызывают сомнения у сотрудников и инсайдеров Появились сведения о том, что новые цвета iPhone 16 выглядят, мягко говоря, «не очень». Китайский инсайдер Fixed Focus Digital сообщил, что смартфоны iPhone 16 Plus уже поступил на сборочную линию для тестового производства. Упоминаются два варианта: первые ...

ИТ-система обработки данных для поиска пришельцев получила модернизацию Институт SETI при поддержке проекта российского предпринимателя Юрия Мильнера Breakthrough Listen и Национальной радиоастрономической обсерватории США сообщил о начале реализации самого масштабного в истории эксперимента по поиску внеземных цивилизаций.

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Lockheed Martin показала концепт истребителя 6-го поколения NGAD и ударного самолёта «SR-72» Обе разработки выглядят фантастично и пока не имеют сроков появления в металле

В России предложили сажать «пиратов» в тюрьму на срок до 8 лет В России рассматривается идея ужесточения наказания для интернет-пиратов. Национальная федерация музыкальной индустрии предлагает внести изменения в Уголовный кодекс, чтобы усилить ответственность за нарушение авторских прав в Сети.

Реализация чата на Flutter при помощи вебсокетов Всем привет, на связи PurplePlane! В этой статья мы хотели бы рассмотреть реализацию простого чата на языке Dart, используя пртокол websocket.Рассмотрим пример использования вебсокета при реализации простого чата с помощью библиотеки web_socket_channel. Читать далее

Диплом специалиста ИБ. Часть №3 — Портативное устройство SmartPulse Привет, Хабр!Вам знакомо такое чувство, когда сидишь, пишешь дипломную работу и думаешь "А не сделать ли умный пульсометр на ESP32-C3 с интегрированными механизмами защиты, динамическим пин-кодом и управлением через BLE с помощью собственного мобильного приложения для IoT-ус...

Следующим министром экономики Тайваня станет выходец из полупроводниковой отрасли Ему придётся решать накопившиеся проблемы в энергетике.

Tesla выпускает такое количество аккумуляторов 4680 в неделю, которого достаточно для оснащения 1000 пикапов Cybertruck Этот фактор не ограничивает объёмы выпуска данных электромобилей.

Китайская CXMT представила первую в стране импортозамещенную память LPDDR5 CXMT представила в Китае память LPDDR5 с низким энергопотреблением, что является достижением в области производства микросхем, учитывая наложенные на страну ограничения. Эти модули DRAM, обладающие скоростью передачи данных 6400 МТ/с, предназначены для доступных смартфонов ...

MSI и Asus увеличили сроки гарантии на свои OLED-мониторы, а чем Gigabyte хуже? Новый гарантийный срок на QD-OLED мониторы Gigabyte Aorus — три года Об увеличении гарантийного срока на свои OLED мониторы ранее заявили Asus и MSI, а сейчас к ним присоединилась и Gigabyte: гарантий на модели с панелью QD-OLED увеличена до трех лет. «Расширенная гарантия подчеркивает уверенность компании Gigabyte в долговечности...

МФТИ готовится к запуску серийного производства 3D-печатных протезов Группа студентов кафедры технологического предпринимательства Московского физико-технического института ставит на поток производство механических тяговых протезов рук с применением аддитивных технологий. Использование 3D-печати позволяет сокращать сроки производства в четыре...

Запуск первого спутника интернета вещей «Марафон-IoT» перенесли Запуск первого демонстрационного спутника для интернета вещей «Марафон-IoT» перенесли, сообщает агентство ТАСС со ссылкой на Роскосмос. В госкорпорации отметили, что запуск отложили с целью дополнительных проверок программного обеспечения, а также уточнения ...

Российский завод Nissan полноценно заработает в 2024 году. Будут выпускать Lada Х-Cross 5 и какой-то седан Глава АвтоВАЗа Максим Соколов на конференции «Национальная технологическая революция 20.35» рассказал, когда начнется по-настоящему массовое производство автомобилей на бывшем российском заводе Nissan.   Изображение: Lada «Мы рассчитываем, что по...

Эксперт спрогнозировал новую мошенническую схему с использованием нейросети ИТ-эксперт назвал мошенническую схему, которая, по его мнению, в скором времени станет широко распространённой

В Якутии построят автодорогу до турбазы "Ленские столбы" Управление автодорог Якутии: поэтапная реализация объектов в 2026 и 2027 годах будет выполняться в рамках национального проекта «Безопасные качественные дороги» после получения положительного заключения госэкспертизы и выделения дополнительных средств из Дорожного фонда респ...

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Почта России отказалась от доставки грузов беспилотниками на неопределённое время Почта России приняла решение отложить на неопределенный срок реализацию амбициозного проекта по доставке грузов с использованием беспилотных летательных аппаратов.

США дали TSMC еще $ 6,6 млрд на постройку нового завода США добиваются независимости производства микросхем, заключив историческую сделку. Министерство торговли выделило Taiwan Semiconductor Manufacturing Company (TSMC) до 6,6 миллиарда долларов на финансирование по закону CHIPS, закрепив обязательство построить третий завод...

На калужском заводе «ПСМА Рус» появились новые иномарки Peugeot и Citroen. Их выпуск могут наладить на предприятии Мы уже сообщали об активных работах по перезапуску производства на заводе «ПСМА Рус» в Калужской области, а сейчас Autonews заметил на предприятии новые иномарки. Вероятно, их-то и будет выпускать предприятие. Peugeot 4008. Изображение: Peugeot Одним из так...

Стали известны возможности сервиса просмотра изображений от «Р7-Офис» В десктопной и серверной версиях пакета офисных программ «Р7-Офис» для просмотра фотографий и рисунков есть программа «Р7-Галерея».  Этот просмотрщик изображений открывает самые распространенные типы файлов растровой графики — с расширениями jpg, jpeg, png, gif, bmp, tif, ti...

Российские разработчики создали открытое ПО для дронов. Оно первое в стране В России разработали открытое программное обеспечение для дронов. Asv.Drones — это комплексное кросс-платформенное ПО с открытым исходным кодом по управлению беспилотными летательными аппаратами, наземными станциями связи и различной полезной нагрузкой, созданное ...

Эко-спутник LignoSat из дерева запланирован к запуску в 2024 году Результаты недавнего теста на МКС, во время которого различные виды дерева были подвергнуты воздействию космического вакуума, были подтверждены исследовательской группой проекта в университете Киото (Япония). Подтвердилось, что древесина устойчива к условиям космическог...

Exeed E08 от Chery удивил посетителей автосалона Auto China предельно необычными дверями На выставке Auto China, начавшейся в Пекине 25 апреля, гвоздем программы стал концепт автомобиля Exeed E08 от автомобильного гиганта Chery. Автомобиль при длине 5,2 м предлагает оптимизированное внутреннее пространство и максимум функций. Однако особое внимание привлекают ег...

Россия будет выпускать на порядок больше спутников уже через пару лет Глава Роскосмос Юрий Борисов заявил, что госкорпорация собирается выпускать до 250 спутников ежегодной уже через пару лет, то есть на порядок больше, чем сейчас. «Наша задача где-то к концу 2025-го - началу 2026 года создать производственную базу, основу для произ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Япония инвестирует миллиарды долларов в проект Rapidus, чтобы вернуть лидерство в производстве чипов Япония предпринимает масштабные усилия для возрождения своего лидерства в полупроводниковой отрасли, инвестируя миллиарды долларов в стартап Rapidus и привлекая крупных международных производителей чипов.

Пора окончательно прощаться с новыми Lexus RX, Toyota Land Cruiser и другими японскими машинами? Япония усложнит возможности ввоза автомобилей в Россию В августе Япония ввела запрет на поставку в Россию автомобилей с объемом двигателя более 1,9 л, а также гибридов, электромобилей и даже кей-каров. Но прошло несколько месяцев, а в продаже по-прежнему есть новые Toyota Camry, RAV4, Land Cruiser, Honda CR-V, Subaru Forest...

Предприятие Ростеха освоило выпуск комплектующих для аддитивного производства Обнинское научно-производственное предприятие «Технология» имени А. Г. Ромашина выпускает комплектующие из технической керамики для оборудования, применяемого в производстве металлических порошков для промышленных 3D-принтеров. Мощности предприятия позволят закрыть дефицит, ...

В Польше сообщили о готовности к сценарию прямой конфронтации с Россией Министерство национальной обороны страны рассматривает все сценарии, особенно самые плохие.

Жена Зеленского не хочет, чтобы её муж избирался на второй срок Известно, что президентских выборов в стране будет из-за военного положения.

Собран второй лётный образец «царь-двигателя» РД-171МВ По сообщению пресс-службы Роскосмоса, в НПО «Энергомаш» собрали второй лётный образец двигателя РД-171МВ. Первый образец был изготовлен ещё летом текущего года. РД-171МВ — это самый мощный в мире жидкостный ракетный двигатель, в качестве компонентов то...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

TEAMLY 2024: место встречи лучших экспертов в сфере управления 17 апреля 2024 года в московском ЦДП пройдет третья масштабная конференция TEAMLY, посвященная эффективному управлению задачами и командами на основе знаний, где приглашенные эксперты из крупных компаний поделятся своими кейсами управления командами, задачами и проектами на ...

Китай запустил серийное производство углерода-14 с использованием коммерческого ядерного реактора Исходя из значительной потребности Китая в изотопе углерод-14, властями страны принято решение о его производстве внутри страны, в частности, на базе АЭС Циньшань.

Xiaomi перестанет выпускать обновления для моделей Redmi Note 10 Pro Китайский технологический гигант Xiaomi добавил модели Redmi Note 10 Pro и Redmi Note 10 Pro Max в список устройств с истекшим сроком эксплуатации (EOL).

В Южной Корее засудят китайского автопроизводителя якобы за промышленный шпионаж Подразделение Национального полицейского агентства Республики Корея, Национальное бюро расследований в сфере национальной безопасности, передало в прокуратуру дело в отношении как минимум пятерых сотрудников корейской штаб-квартиры производителя аккумуляторов для электромоби...

Отказ Индии от соглашения 1998 года лишь усугубит дефицит микросхем: эксперты Решение Индии отменить мораторий Всемирной торговой организации (ВТО) может иметь серьезные последствия как для ее стремления стать мировым лидером в области производства микросхем, так и для глобальной технологической индустрии в целом.

Все карты Radeon RX 7000 обеспечивают более 100 к/с в Avatar: Frontiers of Pandora Такое утверждение высказывает AMD, при условии активированной технологии FSR 3

Nvidia не нравится, когда кто-то выпускает видеокарты и GeForce, и Radeon? ASRock заявила, что для неё сейчас сложно начать производство карт Nvidia Компания ASRock уже какое-то время производит видеокарты AMD и с недавних пор адаптеры Intel. А вот карты Nvidia она не выпускает и теперь стало известно, почему.  В интервью представитель ASRock ответил на вопрос, какие у компании планы и собирается ли она начать...

Япония выделила $80 000 000 компании Astroscale Japan на исследование и удаление космического мусора Миссия, финансируемая будет, разделена на три этапа: Astroscale Japan получит до $18 000 000 на первом этапе и $80 000 000 в общей сложности на все три этапа. Разработка миссии начнётся в этом месяце с максимальным сроком до марта 2028 года. Программа SBIR была соз...

TSMC запустит 6-нм полупроводниковый завод в Японии По имеющимся данным, Taiwan Semiconductor Manufacturing Company (TSMC) планирует к 2027 году начать производство 6-нанометровых полупроводников на своем втором заводе в Кумамото (Япония). Для поддержки этого предприятия японское правительство рассматривает возможность предос...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Haval не ржавеет? Департамент контроля качества Haval разобрал трехлетний Haval F7 российского производства, который хлебнул немало соли Бренд Haval провел проверку на устойчивость автомобиля российского производства на устойчивость к коррозии. Департамент качества бренда купил на вторичном рынке Haval F7, который пробежал по дорогам Московской области 110 тыс. км. Несмотря на интенсивную эксплуатацию и...

Продажи Skoda Octavia и Skoda Kodiaq, собранных в Казахстане, стартуют через два месяца Появились подробности о проекте сборки в Казахстане сразу четырех моделей Skoda: Octavia, Kamiq, Karoq и Kodiaq. На данный момент соглашение между Skoda и заводом Allur подразумевает только отверточную сборку, но если сотрудничество будет плодотворным, а автомобили буду...

IBS заключила соглашение о сотрудничестве с Пермским государственным национальным исследовательским университетом Они намерены совместно развивать образовательные программы и готовить высококвалифицированных специалистов в сфере информационных технологий.

Корпус морской пехоты США выбрал General Dynamics и Textron для разработки прототипа ARV-30 Корпус морской пехоты США выбрал General Dynamics Land Systems и Textron Systems Corporation для разработки и производства прототипа разведывательного танка для программы Advanced Reconnaissance Vehicle 30mm Autocannon (ARV-30). Обе компании были выбраны на основе их большог...

Как российский оверклокер устроился в ASUS и стал руководителем маркетинга ноутбуков в РФ, СНГ и странах Балтии Поговорили с Владом Захаровым — человеком, который продвигает ноутбуки, смартфоны и консоли. На что надеется ASUS, выпуская консоль Ally при наличии на рынке Steam Deck и Switch? Почему SMM-щики выгорают на продвижении технических продуктов? Почему Влад верит в чат-ботов и с...

Курс доллара упал ниже 90 рублей впервые с 27 июля Некоторые эксперты считают, что российская национальная валюта закрепится в коридоре 85-90 единиц за доллар

Италия собирается направить 10 млрд евро на поддержку полупроводниковой отрасли И стать одним из крупнейших производителей микроэлектронной продукции в Европе.

Врач объяснила, насколько для организма вредно просроченное пиво Глава Национального союза защиты прав потребителей Павел Шапкин рассказал о доле просрроченного пива и важности соблюдения срока годности. Его слова оценила врач.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Стартап из Великобритании Space Forge готовит спутник для производства полупроводников в космосе Компания Space Forge потеряла свой первый экспериментальный спутник в январе во время неудачного запуска ракеты LauncherOne от Virgin Orbit. Новый спутник, названный ForgeStar-1, отправится в США для запуска в конце 2023 года или в начале 2024 года. Space Forge подписал...

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Radeon RX 7800 XT продается в Германии почти вдвое лучше, чем GeForce RTX 4070. Свежая статистика Mindfactory Опубликована статистика продаж видеокарт крупнейшим немецким ретейлером Mindfactory за 45 неделю текущего года. В общем топе лидирует Radeon RX 7800 XT: за неделю продано 755 таких ускорителей. На втором месте с большим отставанием GeForce RTX 4070 с...

Японская компания TDK начнёт выпускать элементы питания для Apple iPhone в Индии Программа локализации действует и приносит свои плоды.

Емкостные кнопки громкости и питания у iPhone 16 потребуют двух специализированных SiP Согласно ранним слухам, смартфоны iPhone 15 Pro должны были получить емкостные кнопки громкости и питания. Затем стало известно, что Apple отложила переход на такое решение, и оно появится в линейке iPhone 16. Теперь на этот счёт появились новые подробности.  Оказ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)