Социальные сети Рунета
Четверг, 16 мая 2024

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

AMD продолжает теснить Intel абсолютно во всех сегментах рынке процессоров Компания AMD продолжает понемногу отвоёвывать долю процессорного рынка у Intel. По итогам прошлого квартала, согласно данным Mercury Research, доля AMD на рынке CPU в целом выросла с 17% до 20,6% в количественном выражении и с 12,5% до 16,3% — в денежном.   ...

Arctic представила башенный кулер Freezer 36 Сегодня компания Arctic официально представила свой новый башенный процессорный кулер Freezer 36, готовый для сокета LGA 1851 (новое поколение процессоров Intel будет поставляться уже на нём). При этом стоит понимать, что башенный процессорный кулер Arctic Freezer 36 совмест...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

AMD теснит Intel по всем фронтам. На рынке серверных процессоров AMD занимает уже почти четверть, забирая почти треть всей выручки Согласно данным аналитиков Mercury Research, в четвёртом квартале прошлого года компания AMD смогла существенно нарастить свою долю на рынке процессоров.  В целом на рынке CPU в количественном выражении компания теперь занимает 20,2% против 17,1% за год до этого. ...

Китай собрался выпускать 1600-ядерные чипы размером с целую пластину Нарезать отдельные чипы из пластин больше не потребуется

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Ryzen 9000 подтверждены. Эта линейка уже упоминается в драйвере AMD На днях мы видели первое фото, на котором, возможно, запечатлён процессор настольной линейки Ryzen 9000. Теперь само название этой линейки подтверждено.  Данные о линейке Ryzen 9000 обнаружились непосредственно в драйвере чипсета AMD. Там нет указания, о настольны...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

AMD не собирается ослаблять давление на Intel. Доля компании на рынке процессоров за год сильно выросла Компания AMD существенно нарастила долю на процессорном рынке, согласно свежей статистике Mercury Research.  На данный момент AMD занимает уже 19,4% всего рынка CPU. Год назад доля компании составляла 15%, а квартал назад – 17,3%, то есть рост весьма существ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

В сеть попало изображение пластины с чипами Intel Xeon Granite Rapids Данные процессоры будут выпускаться по новому технологическому процессу Intel 3 и включать новые производительные ядра Redwood Cove

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Мобильные процессоры AMD Ryzen в новом поколении значительно усилятся по всем фронтам. Ресурс HKEPC опубликовал документ с параметрами APU Strix Point и Strix Halo Чем на это будет отвечать Intel? В Сети засветились 55-ваттный мобильный APU AMD Strix Halo с огромным iGPU и 12-ядерный Strix Point Ресурс HKEPC раздобыл 144-страничный документ, описывающий спецификации некоторых будущих процессоров AMD. В частности, там есть парамет...

Условно бесшумная жидкостная система охлаждения без помпы. Опубликовано тестирование такого прототипа компании Wieland Жидкостные системы охлаждения, как и воздушные, тоже издают шум. Он формируется в первую очередь за счёт работы помпы. Немецкая компания Wieland разработала прототип жидкостной СО без помпы, и в Сети уже есть его обзор.  Известный оверклокер Der8auer протестировал...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

В России надеются освоить производство 28-нм чипов к 2027 году В России существует надежда на развитие производства микропроцессоров с технологической нормой 28 нм на 300-миллиметровых кремниевых пластинах к 2027 году, согласно информации представленной замглавой Минпромторга Василием Шпаком в рамках форума "Микроэлектроника-2023".

Очередная революция от AMD, но очень условная. Опубликованы обзоры процессоров Ryzen 8000G Сегодня был снят запрет на публикацию обзоров настольных процессоров AMD Ryzen 8000G, так что мы можем ознакомиться с тем, на что способны эти новинки.  Напомним, технически это фактически те же мобильные Ryzen 7040U/H/HS, но в настольном варианте. У них те же ядр...

Настольные Core Ultra заказывали? Intel неожиданно представила процессоры Meteor Lake-SP, но купить их просто так не выйдет Компания Intel неожиданно представила настольные процессоры Core Ultra поколения Meteor Lake. Стоит сразу сказать, что, как и намекали некоторые утечки, настольные Core Ultra не нацелены на обычные продажи в рознице для обычных потребителей. Сама Intel позиционирует да...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Похоже, AMD снова вернёт себе звание производителя самых мощный iGPU. Тесты графического ядра в процессорах Intel нового поколения на это намекают В конце текущего года Intel выпустит процессоры Arrow Lake, которые заменят Raptor Lake в настольном и мобильном сегментах. И один такой CPU уже засветился в бенчмарке.  Пока ещё безымянный процессор появился в базе SiSoft Sandra. В данном случае есть чуть больше ...

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Ryzen 7 9700X будет намного мощнее предшественника? Новый восьмиядерный CPU AMD имеет TDP 170 Вт, как актуальные модели с 12 и 16 ядрами Вчера мы говорили о том, что процессоры AMD на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании. Это были исключительно мобильные APU, а теперь такая же информация появилась и относительно настольных моделей.  Новое поколение настольных Ryze...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

«Самый антипотребительский продукт AMD, граничащий с мошенничеством». Тесты процессора Ryzen 7 5700 показали, что в играх он хуже Ryzen 5 5600 Линейка настольных процессоров AMD Ryzen уже давно включает как чиплетные модели, так и переехавшие из мобильного сегмента чипы с монолитным кристаллом. Недавно вышедший без лишнего шума Ryzen 7 5700 относится именно ко вторым, и тесты показывают, что для игр это очень ...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Опубликованы технические подробности процессоров AMD Zen 5 В публикации на Xwitter High Yield приводит список того, что мы знаем о настольных процессорах AMD следующего поколения: они будут работать на Socket AM5 и использовать тот же базовый процессорный пакет, что и Ryzen 7000, но они будут называться Ryzen 9000.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Недавнее заявление Intel было неверно трактовано. Полноценных настольных процессоров Meteor Lake-S всё же не будет Компания Intel, похоже, решила запутать общественность своими планами на настольный сегмент процессоров. Оказывается, полноценных настольных моделей Meteor Lake-S всё же не будет.  Да, исполнительный вице-президент Intel и генеральный менеджер группы клиентск...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Компания Alphacool представила новую пластину Apex Distro Plate Y60 для корпуса HYTE Y60 Пластина обеспечивает оптическое обновление и имеет премиум-классные материалы

Что выпускает бывший российский завод заводе Peugeot-Citroёn: новые детали Издание Autonews опубликовало дополнительное подробности о первой модели автомобиля, который собирают на заводе «ПСМА» в Калужской области, где ранее выпускали автомобили Peugeot, Citroen, Opel и Mitsubishi для России. Вчера мы уже писали, что речь идёт о кр...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Заполучить себе серверный суперпроцессор Nvidia Grace Hopper GH200 в обычном настольном ПК за 40 000 долларов. Такую систему предлагает GPTshop Серверный ускоритель Nvidia Grace Hopper Superchip теперь можно купить в виде обычного ПК. Такую рабочую станцию сможет купить каждый. Конечно, если у него есть 41 500 долларов.  Указанная сумма — это стартовая цена для такого ПК. За эти деньги покупатель по...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

Текущий глава Intel Пэт Гелсингер почти 40 лет назад фактически «расписался» на каждом процессоре Intel 80386, а обнаружили это лишь сейчас Текущий глава Intel Пэт Гелсингер (Pat Gelsinger), как оказалось, «расписался» на каждом процессоре Intel 80386 (или просто Intel 386).  Гелсингер изначально не был управленцем — он был, кроме прочего, одним из основных разработчиков культового п...

Покупатели всё чаще отдают предпочтение ноутбукам, а не настольным ПК. Опубликовала статистика рынка процессоров за прошлый квартал Аналитики Jon Peddie Research опубликовали отчёт по рынку процессоров по итогам первого квартала.   В годовом выражении поставки клиентских CPU для ПК в целом выросли на внушительные 33%, до 62 млн единиц. Это очень много. В квартальном, правда, просели на 9,4%, н...

В России научились производить алмазные пластины для электроники Российские учёные разработали технологию производства алмазных пластин для электроники

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Intel, опять новый сокет? Появились фото процессорного разъёма LGA 4710, и пока совершенно неясно, для чего он нужен Компания Intel готовит ещё один новый процессорный разъём. В Сеть попали фотографии сокета LGA 4710.  Судя по всему, это новый сокет для каких-то серверных процессоров. Сейчас у компании есть сокет LGA 4677 для Xeon Scalable, а для Xeon Scalable нового поколе...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Специальная версия Xiaomi Civi 4 Pro поступает в продажу в Китае Сегодня в продажу поступает ограниченная цветная версия Xiaomi Civi 4 Pro, которая оснащена 16 ГБ оперативной и 512 ГБ флеш-памяти, а цена составляет около 500 долларов. Ограниченная версия Xiaomi Civi 4 Pro доступна трех цветовых сочетаниях: черном и белом, розовом и ч...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Теперь Intel копирует подход AMD? Для сокета LGA1700 выйдут процессоры Bartlett Lake, которые не принесут ничего нового, но будут доступными Похоже, компания Intel может ещё немного поэксплуатировать платформу LGA1700, прежде чем она уйдёт на пенсию. Согласно свежим данным, для этой платформы выйдет ещё одна новая линейка процессоров.   Линейка называется Bartlett Lake. Это не будет какое-то полностью ...

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

АвтоВАЗ выпускает универсалы Lada Vesta SW в четырех комплектациях и всех двух цветах: фото с завода АвтоВАЗ в данный момент собирает универсалы Lada Vesta SW в двух классических цветах, но целых четырех комплектациях. Об этом сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте», который опубликовал несколько фотографий со сборочного конвейера. ...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Intel может создать процессор с 12 большими ядрами для LGA1700. Появились новые данные о CPU Bartlett Lake Похоже, Intel действительно выпустит процессоры Bartlett Lake в исполнении LGA1700 в текущем году, продлив таким образом жизнь платформе. На это указывают новые данные, правда, есть нюанс.  Ресурс Benchlife говорит, что эти CPU в первую очередь будут ориентированы...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

Мобильная видеокарта Radeon RX 7900M умудрилась существенно опередить GeForce RTX 4090 Laptop. Правда, пока только в одном тесте Мобильная видеокарта Radeon RX 7900M, которая является единственным мобильным топовым решением у AMD, умудрилась обойти мобильную GeForce RTX 4090 Laptop в первом тесте.  К сожалению, это не игра, а бенчмарк Geekbench Vulkan. Новинка набрала более 171 000 баллов, ...

Первый в мире мини-ПК с твердотельной системой охлаждения. Zotac Zbox PI430AJ объёмом 210 миллилитров предлагает Core i3 и бесшумную работу Компания Zotac наконец-то полноценно анонсировала уникальный мини-ПК ZBOX Pico PI430AJ, который является первым в мире компьютером с твердотельной системой охлаждения AirJet.  Впервые такой мини-ПК компания показывала весной, но до прилавков он доберётся лишь сейч...

«Самая мощная в мире ракета-носитель, оснащенная 33 двигателями Raptor, стартует со Звездной базы», — SpaceX показала качественные фото и видео запуска Американская компания SpaceX опубликовала несколько зрелищных фотографий и видео, сделанных во время запуска огромного космического корабля Starship. Самая мощная в мире ракета-носитель, оснащенная 33 двигателями Raptor, стартует со Звездной базы. SpaceX pic.twitter...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Южнокорейцы выбирают Ryzen, причём недорогие. Статистика рынка DIY Южной Кореи показывает положение дел и предпочтения пользователей Аналитики Danawa Research опубликовали данные о продажах процессоров на рынке DIY Южной Кореи. Там лидируют CPU AMD.  Корейцы стали чаще предпочитать процессоры Ryzen в конце прошлого года, и с тех пор ситуация в целом сохраняется, хотя были месяцы, где Intel брал...

Вот какой получился бы процессор, если бы Intel отказалась от блока NPU. Появились качественные схематические изображения Lunar Lake Процессоры Intel Lunar Lake выйдут ближе к концу года, но постепенно Intel раскрывает о них новые подробности. К примеру, появились качественные изображения таких CPU, на которых видно все блоки на кристаллах.   В отличие от Meteor Lake, Lunar Lake состоит всего и...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Может ли Apple создать чудовищную SoC M3 Extreme с более чем 250 млрд транзисторов? Компания лишила M3 Max интерфейса UltraFusion Возможно, в текущем поколении компании Apple всё же выпустит однокристальную систему M3 Extreme, которая будет состоять из двух M3 Ultra. Как минимум косвенно на такую возможность намекают изменения в конфигурации SoC M3 Max.  Apple M2 Ultra; фото: Apple Как показ...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Это официально «несуществующий» процессор Intel из поколения, которое компания не хочет вспоминать. Появились фото Core M3-8114Y на плате с изображением покемона Среди многочисленных поколений процессоров Intel особняком стоит линейка Cannon Lake, которая существовала довольно условно. Сегодня в Сети появились фотографии системной платы с одним таким CPU, который даже сама Intel на собственном сайте нигде не указывает.  Пл...

В Москве запустили серийное производство материнских плат. Они изначально созданы под отечественные процессоры По сообщению руководителя московского Департамента инвестиционной и промышленной политики Владислава Овчинского, компания — резидент особой экономической зоны (ОЭЗ) «Технополис Москва» разработала и запустила в производство материнскую плату с поддержк...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Так будут выглядеть Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra. Живые фото макетов смартфонов Пользователь Twitter Сонни Диксон (Sonny Dickson) опубликовал фото макетов Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra. Такие макеты, полностью имитирующие дизайн смартфона, обычно используются изготовителями чехлов и аксессуаров. Те должны быть заранее...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Intel начала двигать Nvidia на рынке ИИ? Корейская компания Naver перешла с GPU Nvidia на CPU Intel для своего сервера с искусственным интеллектом За последний год компания Nvidia стала неоспоримым лидером на рынке поставщиков ускорителей для искусственного интеллекта. Однако на этом рынке присутствует не только она. Как сообщается, компания Naver заменила ускорители Nvidia на аналогичные решения Intel.  соз...

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Радиусомер (R25-R50) Диапазон измерения стареньких (ГОСТовских) радиусомеров, вот такого типакоторыми я пользуюсь по работе - от 1 мм до 25 мм, но мне часто бывает необходимо замерять скругления несколько большего радиуса. Поэтому я спроектировал радиусомер с диапазоном измерения от 25 мм до 50 ...

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года Возможно, некоторые процессоры Intel, которые мы ожидали в этом году, выйдут лишь в следующем.  Согласно данным инсайдера Golden Pig Upgrade, в этом году не будет новых высокопроизводительных CPU Core-HX. Речь о поколении Arrow Lake, которое должно выйти в конце т...

Такие ПК могут быть выходом в ситуации проблемных процессоров Core i9. Lenovo готовит настольный игровой ПК Legion Blade 7000K на мобильных CPU Core HX Компания Lenovо решила выйти на рынок MoTD (Mobile on Desktop). Речь о настольных компьютерах, основанных на мобильных процессорах. Этот сегмент в последнее время активно развивается, так как мобильные CPU стали намного более мощными, а старшие линейки у AMD и Intel тех...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

Купить GeForce RTX 4060 Ti и установить на неё до 8 ТБ памяти. В продажу поступила модель Asus со слотом для SSD Компания Asus наконец-то выпустила необычную видеокарту GeForce RTX 4060 Ti со слотом для установки SSD.  Новинка уже засветилась как минимум в одном финском магазине, правда, цена у карты немаленькая. За новинку просят 560 евро, а это на 90 долларов больше, чем т...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Могли бы получить Core i9-15900K, а получим Core Ultra 9 285K. Стали известны названия будущих настольных процессоров Intel В мобильном сегменте Intel уже отказалась от бренда Core i, перейдя на обычные Core и Core Ultra. В настольном такой переход нас ждёт позже в этом году с выходом Arrow Lake-S. И теперь мы знаем, как будут называться такие CPU.  Инсайдер перечислил шесть моделей бу...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Забудьте об Intel и AMD: для работы новой функции AI Explorer в Windows 24H2 нужна будет только SoC Snapdragon X Elite Уже в ближайшие месяцы на рынки выйдут первые ноутбуки с SoC Snapdragon X Elite, а заодно и с Windows 11 24H2. И похоже, что покупатели таких мобильных ПК получат преимущество над остальными, так как одна из новых важных функций Windows 11 будет работать только на SoC S...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

AMD концентрируется на серверных и мобильных процессорах? Компания пообещала в первую очередь именно их во второй половине года Комментируя результаты прошедшего финансового квартала, глава AMD Лиза Су (Lisa Su) рассказала о планах компании на текущий год.  В частности, нас точно ждут новые процессоры на архитектуре Zen 5, причём сразу в нескольких сегментах. Во втором полугодии AMD выпуст...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Представлена новая версия седана Volkswagen Polo Volkswagen выпустил новую версию седана Polo, которая предлагается с автоматической коробкой переключения передач 116-сильным турбированным двигателем с крутящим моментом 178 Нм в Южной Африке по цене около 23 000 долларов. Ранее в регионе выпускалась только версия...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Intel прощается с брендом Core i. Текущие процессоры Core 14-го поколения будут последними с такими именами Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel представила свои новые процессоры Core 14-го поколения, и теперь компания подтвер...

iPhone 16 и 16 Plus всё ещё будут предлагать только 60-герцевые экраны Возможно, базовые iPhone нового поколения всё ещё будут иметь экраны с кадровой частотой 60 Гц.  Инсайдер Revegnus утверждает, что iPhone 16 и 16 Plus получат 60-герцевые панели LTPS. В первом случае диагональ составит 6,12 дюйма, во втором — 6,69 дюйма. То ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Apple, а столь тонкие iPad не будут гнуться «об воздух»? Толщина новых iPad Pro OLED будет рекордной для компании Уже в марте, как ожидается, Apple представит новые планшеты iPad Pro. Обновление будет крупнейшим с момента запуска линейки, так как планшеты получат экраны OLED. Согласно свежим данным, новинки будут ещё и сверхтонкими.  фото: Apple CAD-чертежи, которые опубликов...

Samsung тоже выпустит свой процессор для ИИ, но конкурировать с Nvidia пока не собирается. Mach-1 выйдет в следующем году Компания Samsung выпускает очень много полупроводниковой продукции, но в первую очередь это чипы памяти и собственные SoC Exynos. Теперь вот, как сообщается, Samsung собирается выйти на разгоняющийся рынок процессоров для ИИ.  создано DALL-E Решение корейского гиг...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

В сети появились первые рендеры ASUS ROG Phone 8 Сегодня появилось официальное подтверждение о запуске геймерского смартфона ROG Phone 8, который должен выйти в ближайшем будущем, а также первые рендеры, показывающие как будет выглядеть смартфон на момент релиза. Стоит отметить, что компания ASUS собирается представить сма...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Blue Origin готовится к историческому запуску: первые ступени New Glenn успешно соединены Компания Blue Origin, основанная Джеффом Безосом (Amazon), успешно соединила две ступени своей ракеты New Glenn на космодроме 36 (LC-36) в Кейп-Канаверале. Это событие предшествует планируемому первому запуску ракеты в этом году. Фотография соединённых ступеней была опу...

Мощности Huawei ограничены. Смартфоны Huawei Mate 60 не выйдут даже на тайваньском рынке Серия смартфонов Huawei Mate 60 вызвала ажиотаж после анонса, смартфоны активно раскупают. При этом официальные лица Huawei подтвердили, что серия Mate 60 не будет выпущена на зарубежных рынках. На вопрос о том, есть ли у серии Mate 60 шанс появиться хотя бы на тайваньс...

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

А тут Intel ответить будет нечем. Настольный Ryzen 7 8700G с iGPU Radeon 780M впервые засветился в тестах Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года В Сети впервые засветился процессор AMD Ryzen 7 8700G. Это настольный APU на архитектуре Zen 4, который правильнее было...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

В России будут выпускать больше внедорожников Hava— производство в Туле расширяют В текущем году Haval планирует выпустить в России 130 тыс. внедорожников и кроссоверов, но в будущем производство будет только расширятся. Нарастить выпуск автомобилей позволит новый цех штамповки — ввод его в строй удвоит объем выпуска кузовных деталей. Изображе...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

3D V-Cache на процессорах Ryzen можно использовать в качестве RAM-диска со скоростью около 180 ГБ/с Некоторые процессоры AMD, включая обычные Ryzen, предлагают дополнительную кеш-памяти в виде решения 3D V-Cache. Она зачастую обеспечивает очень хороший прирост в играх, но в большинстве остальных задач чаще всего не играет вообще никакой роли. Оказалось, что её можно и...

Exynos 2400 — действительно неплохая платформа, но с не лучшей энергоэффективностью. Тесты показывают, что потребление CPU довольно высокое Различные тесты уже доказали, что SoC Exynos 2400 действительно получилась достаточно неплохой на фоне Snapdragon 8 Gen 3. Однако свежие данные говорят о том, что всё же у платформы Samsung всё намного хуже с энергоэффективностью.  Если говорить только о процессор...

Минимум до 160 ядер. В Сеть попали параметры процессоров AMD Epyc поколения Turin В Сеть попали параметры процессоров AMD Epyc нового поколения Turin, которые ожидаются в конце текущего года.  Новинки будут опираться на ядра Zen 5 и Zen 5C в зависимости от линейки. Перед нами, видимо, параметры и моделей Prometheus с ядрами Zen 5c, и моделей Ni...

Китайские исследователи работают над процессорами с 1600 ядрами Такого количества ядер можно достичь, используя многокристальную конструкцию из полноценной полупроводниковой пластины

Астронавт сделал фантастический снимок молнии верхних слоёв атмосферы с борта МКС Во время недавнего эксперимента на МКС удалось снять редкую форму атмосферных явлений — временные светящиеся события (TLE).  Эксперимент Thor-Davis — это исследовательский проект, осуществляемый на МКС с целью изучения штормов и атмосферных явлений в ве...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Процессорная часть не впечатлит количеством ядер, а вот iGPU очень силён. Появились новые тесты процессора Intel Lunar Lake Процессоры Intel Lunar Lake, которые выйдут в конце текущего года, не смогут похвастаться производительностью CPU, а вот графическое ядро явно будет сильно. Это подтверждают новые тесты.  В базе SiSoft Sandra засветился ноутбук HP Spectre x360 на основе Core Ultra...

Сотни тысяч новейших Honda Accord и HR-V 2023 и 2024 годов отзывают из-за ремней безопасности Honda отзывает более 300 000 автомобилей в США, поскольку в их ремнях безопасности может отсутствовать критически важный компонент. По данным Национальной администрации безопасности дорожного движения, Accord и HR-V моделей 2023 и 2024 годов могут быть оснащены преднатя...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Настольные Ryzen 7000G и новое поколение Ryzen 8000U впервые засветилось в Сети В Сети впервые засветились настольные процессоры Ryzen 7000G и мобильные APU нового поколения Ryzen 8000U.  Если говорить о первых, то пока засветились три модели: Ryzen 5 Pro 7500G, Ryzen 5 7500G и Ryzen 3 7300G. Первые два должны быть идентичны и, вероятно, буду...

Даже если взять оптимизированное под процессоры Intel ПО для искусственного интеллекта, новый Core Ultra 7 155H в половине случаев проигрывает Ryzen 7 7840U Сегодняшние тесты процессора Intel Core Ultra 7 155H под Linux показали, что там новинка Intel существенно уступает 15-ваттному Ryzen 7 7840U при большем энергопотреблении. Авторы Tom's Hardware решили отдельно протестировать Meteor Lake в задачах, связанных с ИИ, п...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Такого AMD ещё не делала. Компания собирается выпустить серверные процессоры Epyc 4004 Raphael для потребительской платформы AM5 Похоже, компания AMD в ближайшее время может сделать платформу AM5 намного более привлекательной для энтузиастов. Сообщается, что для AM5 могут выйти серверные процессоры Epyc.  Линейка якобы будет называться Epyc 4004 Raphael. Такие процессоры ожидаемо не будут с...

Нет, это не iPhone, хотя очень похоже. Опубликованы живые фото специальной версии Xiaomi Civi 4 Pro Живые фотографии специальной версии Xiaomi Civi 4 Pro опубликовал осведомлённый инсайдер под ником Ice Universe. Экран имеет овальный вырез под систему фронтальных камер, как у новых iPhone. Это черно-белая версия Xiaomi Civi 4 Pro, выпущенная ограниченным тиражом. Кор...

Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Мы уже видели тесты новых процессоров Intel Meteor Lake и примерно представляем себе, что вышло у компании, хотя первые тесты были весьма поверхностными. Но теперь в Сети появился обзор, где авторы уделили намного больше внимания iGPU.  Процессоры были протестиров...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Доля процессоров AMD в сегменте настольных ПК за год взлетела на 24% На мобильном и серверном рынках также виден рост

Core i7, который быстрее прошлогоднего Core i9. Появились первые результаты тестов Core i7-14700HX Сети засветился мобильный высокопроизводительный процессор Core i7-14700HX, который Intel пока не представила. Это мобильная линейка Raptor Lake Refresh, которая ожидается в начале 2024 года.  Как и настольный Core i7-14700K, мобильный процессор имеет 20 ядер (8 б...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Первое фото макета iPhone 16 подтверждает новый дизайн камеры Фотографию металлической болванки, которая будет использоваться для производства чехлов и защитных стекол для iPhone 16, опубликовал инсайдер Majin Bu. Я не уверен насчет первой фотографии, но вторая, похоже, идентична чертежу. На первой фотографии (ниже) показаны форм...

Китайские автопроизводители голосуют за Nvidia. Li Auto, Great Wall Motors, Zeekr и Xiaomi будут использовать платформу Nvidia Drive Orin в системах автономного вождения На стартовавшей в США выставке CES 2024 Nvidia заявила о широком сотрудничестве с китайскими автопроизводителями. Хорошо известные в России Li Auto, Great Wall Motors и Zeekr используют сейчас (в некоторых моделях) и будут использовать в дальнейшем платформу Nvidia Driv...

Из-за упора на ИИ мы получим более слабые процессоры? AMD передумала добавлять в APU Strix Point SLC-кеш ради увеличения блока NPU Поворот AMD и Intel в сторону искусственного интеллекта, видимо, негативно скажется на будущих процессорах компаний, если говорить о классической производительности.  Инсайдер Uzzi38 на форуме AnandTech рассказал, что AMD якобы отказалась от идеи добавить мобильны...

Пора прощаться с оперативной памятью DIMM? Компактные модули CAMM2 появятся и в настольных ПК Очень компактные модули оперативной памяти CAMM, которые призваны заменить привычные SO-DIMM в ноутбуках, как оказалось, появятся и в настольных ПК.  Согласно данным JEDEC и документу, описывающему стандарт CAMM2, он ориентирован в том числе и на настольные ПК. Фо...

Так просто AMD сделает новые процессоры из старых. Ryzen 8000G будут моделями, которые могли бы выйти под именем Ryzen 7000G Компания AMD пока так и не представила настольных процессоров Ryzen 7000G, хотя в последнее время о них появляется всё больше утечек. Согласно свежим данным, вполне вероятно, что такие APU всё же не выйдут. Но не потому, что AMD решила от них отказаться, а потому, что в...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Это Audi Q3 2025. Новинку показали со всех сторон С момента своего появления на европейском рынке в 2011 году Audi Q3 стал популярной моделью в этом сегменте. После обновления 2019 году совершенно новое третье поколение Q3 будет официально представлено позднее в этом году. Недавно была опубликована серия шпионских фот...

Вышедший на днях Core i9-14900K будет детской игрушкой на фоне этих монстров. Опубликованы первые изображения процессоров Ryzen Threadripper 7000 Ресурс Videocardz опубликовал первые изображения процессоров AMD Ryzen Threadripper 7000.   Наконец-то подтверждено, что AMD возвращается к двум линейкам для своих монструозных CPU. Нас ждут процессоры Threadripper Pro 7000 для рабочих станций и обычные Threadrip...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Карманная видеокарта, в которую можно установить ещё и SSD. OneXGPU наконец-то вышла на Indiegogo по цене 600 долларов Внешняя видеокарта OneXGPU наконец-то стала доступна для заказа. Компания вышла на Indiegogo, и все желающие теперь могут купить устройство в рамках кампании по сбору средств.   Цена в рамках кампании составляет 600 долларов. В рознице же устройство будет стоить 7...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

AMD успешно теснит Intel, отнимая рыночную долю в сегменте серверных и настольных процессоров А вот с продажей процессоров для ноутбуков дела у AMD идут не так хорошо, как хотелось бы

Комфортом тут и не пахнет, вместо заднего ряда сидений — две «запаски», зато заявлены военные технологии и цена более 138 000 долларов. Появились подробности о внедорожнике M-Hunter от Dongfeng Появились первые фотографии и новые подробности о хардкорном внедорожнике M-Hunter от Dongfeng, который покажут на следующей неделе на Пекинском автосалоне. Ожидается, что цена составит более 138 000 долларов. M-Hunter будет оснащен различными технологиями военного уро...

В конце 2024 года Intel выпустит процессоры, у которых будет максимум восемь ядер и не будет Hyper Threading. Появились данные о CPU Lunar Lake В Сеть попало много новых данных о грядущих процессорах Intel Lunar Lake, которые выйдут в конце текущего года.  В частности, утечка говорит о том, что ядра Lunar Lake не поддерживают Hyper-Threading. Напомним, в конфигурацию таких процессоров будут входить и боль...

Немецкие кроссоверы и внедорожники тоже бывают надежными. Porsche Cayenne, Audi Q5 и Mercedes-Benz G-класса способны проехать 800 тыс. км Американский ресурс HotCars опубликовал рейтинг кроссоверов и внедорожников, который может быть полезен и в России. Дело в том, что в нем представлены наиболее ресурсные модели немецких автопроизводителей – их хватает на рынке в России. Все представители рейтинга ...

AMD, а в чём секрет? Первые результаты тестирования, предположительно, Ryzen 9 8940HS, показывают уверенное преимущество над Ryzen 9 7940HS Мобильный процессор AMD Ryzen 9 8940HS линейки Hawk Point впервые засветился в бенчмарке.  Точнее, вероятно, это именно Ryzen 9 8940HS. ПО определяет лишь номер: 100-000001319-50, из которого понять что-то невозможно. Но ПО также указывает на наличие восьми ядер с...

Первый ИИ-ноутбук от Samsung выйдет раньше первого ИИ-смартфона. Премьера Galaxy Book 4 состоится 15 декабря 15 декабря Intel выпустит процессоры Core Ultra для мобильных компьютеров, и в этот же день Samsung представит новую линейку своих ноутбуков – Galaxy Book 4. Устройства будут преподноситься как ИИ-ноутбуки. Известно, что Samsung зарегистрировала название «И...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Странные Ryzen GT, Ryzen 8000G, которые должны были бы быть Ryzen 7000G, и Ryzen 7 5700X3D. Появились данные о множестве разных новых CPU AMD В Сеть попали подробности о настольных процессорах Ryzen 8000G. Что интересно, попали они туда от иранского ресурса Sakhtafzarmag.  Как можно видеть, в списке источника шесть моделей и ещё шесть версий Pro, которые технически от обычных не отличаются.  Напомн...

Один из первых на Ryzen 9 8945HS. В Сети засветился новый ноутбук Asus ROG Zephyrus G14 В Сети засветился один из первых ноутбуков, основанных на новых мобильных процессорах AMD Ryzen 8000.   Модель Asus ROG Zephyrus G14, вероятно, будет доступна в разных конфигурациях с разными APU, но засветилась с флагманским в своей линейке Ryzen 9 8945HS.  ...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Xiaomi 14 получит топовый объектива Leica Summilux и новейший датчик. Теперь официально Новая серия Xiaomi 14 будет впервые оснащена объективами Leica Summilux, о чём только что сообщил Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi. Оптика движущегося изображения достигла критического «момента прыжка». Xiaomi и Leica со...

Упаковка Google Pixel 8 подтвердила дизайн и характеристики смартфона На сайте Mydrivers опубликовали реальные фотографии упаковочной коробки смартфона Google Pixel 8. На верхней части коробки видно, что хотя расположение камер Pixel 8 такое же, как у Pixel 7, сами модули явно больше, чем у Pixel 7. Ожидается, что смартфон получит светочу...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

Core Ultra 7 155H и Ryzen 9 8845HS сошлись в битве нового поколения, где сравнивается производительность ИИ. Тесты показали, что Ryzen быстрее В последнее время производители всё активнее сравнивают свои процессоры по производительности блоков NPU. И хотя это пока практически бесполезная для обычного пользователя вещь, авторы ресурса Computerbase решили сравнить современные CPU Intel и AMD, воспользовавшись бе...

Intel вообще будет чем ответить на такое? Ryzen 9 9950X приписывают производительность на 40-45% выше, чем у Ryzen 9 7950X В Сети появились свежие слухи о процессорах AMD Ryzen 9000 для настольного сегмента. Впрочем, в целом их можно проецировать и на другие CPU компании на основе архитектуры Zen 5.  создано DALL-E Автор канала RedGamingTech получил данные о производительности старших...

Российские космонавты создадут трубчатые органы с помощью 4D-биопечати В этом году на борту Международной космической станции (МКС) пройдёт эксперимент с использованием 4D-биопечати, в ходе которого планируется получить эквиваленты трубчатых органов. Такой эксперимент будет проведён впервые в мире, рассказал командир отряда космонавтов Рос...

iGPU с частотой почти 3 ГГц. Настольный Ryzen 5 8600G впервые засветился в бенчмарке Настольный процессор Ryzen 5 8600G, который вместе с остальными моделями линейки будет представлен в ближайшее время, засветился в Geekbench.   Благодаря ПО мы можем подтвердить, что APU содержит шесть процессорных ядер с частотой до 5 ГГц и iGPU, который определя...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Новейший Land Cruiser 250 сделали более внедорожным. Представлены первые наборы тюнинга Вчера в Японии стартовали продажи новейшего Land Cruiser 250 (он же Land Cruiser Prado 250), и вчера же были представлены первые наборы тюнинга для этой модели. Показали их японские ателье Jaos, Modellista и Toy Factory. Для тех, кто часто выбирается на природу и ночуе...

Тонкий и лёгкий Xiaomi Mix Flip готов к выходу: он получит Snapdragon 8 Gen3, спутниковую связь и станет лучшим для любителей селфи Тонкий и легкий флагман Xiaomi со складным экраном готов к запуску, о чем заявил известный инсайдер Digital Chat Station. Digital Chat Station заявил, что устройство построено на базе однокристальной системы Snapdragon 8 Gen3 и будет поддерживать спутниковую связь. Согл...

Intel отказывается от Core i3? В линейке процессоров Arrow Lake не будет моделей Core Ultra, а вместо них будут старые Core i3 Процессоры Intel Arrow Lake не только окончательно похоронят бренд Core i, заменив его на Core Ultra. Оказывается, они ещё и откажутся от моделей Core Ultra 3, которые могли бы называться Core i3.  Инсайдер Golden Pig Upgrade утверждает, что ни в мобильном, ни в н...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Intel уверена в своём доминировании на процессорном рынке, несмотря на активное наступление AMD и Arm Компания Intel, несмотря на активную потерю доли процессорного рынка во всех основных сегментах, уверена в своём доминировании в обозримом будущем.  Выступая на Intel Innovation Taipei 2023 Technology Forum, глава компании Пэт Гелсингер заявил, что Intel сохранит ...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Так выглядит новый сокет LGA1851 для будущих процессоров Intel Core (Ultra) 200 (Arrow Lake-S): первое качественное фото На выставке Embedded World 2024 состоялась премьера первых материнских плат с разъемом LGA1851. В частности, на фото ниже показана плата Mi 1002 разработки тайваньской iBase. Она рассчитана на новые CPU Meteor Lake PS — те же мобильные Intel Core Ultra 14 поколени...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Intel Xeon W9-3595X активно тестируют перед релизом Следующий поколение процессоров Intel Xeon-W, вероятно, уже совсем скоро отправится в релиз, потому что модель W9-3595X удалось обнаружить в базе данных Geekbench с 60 ядрами. Стоит напомнить, что ранее инсайдеры опубликовали различные данные о процессорах нового поколения и...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Телескоп Хаббл сделал изображение взаимодействующей пары галактик Arp 140 Космический телескоп NASA Хаббл сделал фотографию взаимодействующей пары галактик, известной как Arp 140. Этот космический дуэт, расположенный в созвездии Цетус, состоит из полосатой спиральной галактики NGC 275 слева и линзовидной галактики NGC 274 справа.

Intel Core i9-14900K тоже получит декоративные серебряные пластины в упаковке — фото Подобный «трюк» Intel использовала и для Core i9-13900K.

Оказалось, что старые процессоры AMD таят в себе пистолет и американский штат. На кристалле CPU Athlon K7 выгравированы изображения револьвера и Техаса Гравировка на старых процессорных кристаллах — дело не новое. Но до сих пор обнаруживаются CPU, где о таких изображениях общественность ранее не знала. К примеру, на кристалле старого AMD Athlon K7 их 1999 года нашли изображение пистолета.  Если точнее, изоб...

Intel снова может всех запутать. Компания анонсировала процессоры Xeon 6, которые на самом деле не Xeon шестого поколения Xeon Scalable шестого поколения Компания Intel в конце прошлого года изменила принцип именования своих потребительских процессоров. Теперь же ребрендинг коснулся и серверных CPU.  Вчера Intel рассказала немного о новых процессорах Xeon, которые получили имя Xeon 6. К этой линейке относятся не вс...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Qualcomm уже тестирует процессор Snapdragon 8 Gen 4 Новый мобильный процессор Snapdragon 8 Gen 4, по информации инсайдеров, станет первым процессором от компании Qualcomm, который не будет использовать микроархитектуру процессорных ядер ARM и перейдёт на специальные ядра Oryon, которые внутри компании получили кодовое имя Pho...

Это Ryzen 7 9800X или Ryzen 7 9700X. Первое фото инженерного образца процессора Ryzen 9000 для настольных компьютеров В Сети опубликовано первое фото будущего процессора AMD линейки Ryzen 9000 для настольных компьютеров (Granite Ridge). На фото показан CPU с 18 ядрами и поддержкой многопоточности, что будет соответствовать Ryzen 7 9800X или Ryzen 7 9700X. Топовая модель линейки, согнла...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Huawei Kirin 9000S оказался сильно слабее конкурентов Возвращение компании Huawei на рынок смартфонов с чипом Kirin 9000S в сети назвали настоящим чудом, но это не отменяет того факта, что этот процессор значительно медленнее конкурентов. Это было вполне ожидаемо, поскольку SMIC, партнёр Huawei по производству, в настоящее врем...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Компания AMD уже довольно давно выпускает процессоры, а с недавних пор и GPU, с чиплетной компоновкой. Но чиплетными являются либо настольные CPU, либо мобильные высокопроизводительные, которые технически представляют собой те же настольные. AMD говорит, что доступные ч...

Intel, а это действительно должно интересовать потребителей? Компания рассказала о процессорах Lunar Lake, но говорила только о производительности в задачах ИИ На прошедшем сегодня мероприятии Vision 2024 компания Intel не только представила настольные процессоры Core Ultra поколения Meteor Lake, но и раскрыла подробности о Core Ultra 200V поколения Lunar Lake, которые выйдут в конце текущего года.  К сожалению, сегодня ...

HUAWEI лишили процессоров Snapdragon, которые стояли почти во всех смартфонах. Что теперь с ними будет? 7 мая 2024 года министерство торговли США наложило новые санкции на HUAWEI. Об этом сообщило издание Bloomberg со ссылкой на анонимные источники, а также на председателя Комитета Палаты представителей по иностранным делам республиканца Майкла Маккола. Отныне американским In...

Это жидкостная система охлаждения для SSD. Teamgroup T-Force Siren GD120S AIO пригодится для горячих накопителей с PCIe 5.0 Не секрет, что SSD с интерфейсом PCIe 5.0 требуют охлаждения. Зачастую можно ограничиться пассивными СО, но многие производители делают активные кулеры. И если первое время это удивляло, то жидкостная система охлаждения для SSD кажется и вовсе чем-то из ряда вон, а имен...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Первый тест процессора AMD с большими и малыми ядрами. Ryzen 3 7440U в однопоточном режиме быстрее настольного Core i3-13100F В Сети появились первые результаты тестирования процессора Ryzen 3 7440U. В данном случае это интересно по той причине, что Ryzen 3 7440U относится к линейке Phoenix 2, в отличие от других Ryzen 7040U.   Этот APU был представлен вместе со старшими моделями ещё в м...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Первая фотобитва между Xiaomi 14 Pro и Samsung Galaxy S23 Ultra. Сравнение фотографий не позволяет сделать однозначные выводы Инсайдер Ice Universe опубликовал сравнительные фотографии, сделанные на Samsung Galaxy S23 Ultra и Xiaomi 14 Pro.   Пока есть только по одной фотографии от каждого смартфона, но можно видеть, что камера новинки Xiaomi захватывает больше света, благодаря чему вид...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Теперь не только белые и чёрные. АвтоВАЗ начал выпускать Lada Vesta в новом цвете Инсайдерский паблик Avtograd News сообщил о том, что с сегодняшнего дня АвтоВАЗ начал серийно выпускать Lada Vesta, окрашенные в цвет «Борнео» – это тёмно-серый металлик. До этого Lada Vesta были в большинстве своем белые и немного чёрные. Изображение...

Новый процессор Huawei с ядрами Taishan V120 показал приличную производительность Появились новые результаты тестов будущего серверного процессора Huawei, показывающие уровни производительности, которые конкурируют с ядрами AMD Zen 3. Процессор был замечен на платформе Geekbench, хотя его точное название пока держится в секрете. Тем не менее, его про...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

В сети появились характеристики процессора Platinum 8558P В базе данных Geekbench появились ещё два процессора Intel 5-го поколения Xeon Emerald Rapids — речь о моделях Platinum 8558P и 8551C. Стоит сразу уточнить, что это серверные процессоры и использовать их в своих домашних ПК вы не сможете, что вполне очевидно, но всё равно но...

Огромный 14-дюймовый экран, игровой iGPU, четыре динамика и 32 ГБ ОЗУ. Рассекречен планшет Minisforum V3 Компания Minisforum раскрыла подробности о своём первом планшете под названием V3. Напомним, устройство стало первым среди анонсированных планшетов на основе Ryzen 8000.  Производитель не называет модель процессора, но говорит о техпроцессе 4 нм, 12 блоках CU для ...

Таких процессоров Intel якобы вообще не существует, но системная плата для них уже есть. IBASE MI1002 предназначена для настольных Core Ultra Процессоры Intel Meteor Lake существуют только в виде мобильных версий. Или нет? Системная плата IBASE MI1002 для промышленных систем указывает на то, что Intel или собирается, или уже выпустила Core Ultra в виде настольных CPU.   Плата с сокетом LGA 1851 рас...

16 ядер китайского происхождения. Loongson готовится выпустить на рынок серверный процессор линейки 3C6000 Только вчера мы говорили о том, что четырёхъядерный китайский процессор Loongson 3C6000 при определённых условиях можно сравнивать с Ryzen 9 7950X и Core i9-14900K, а сегодня компания заявила, что уже готова вывести на рынок 16-ядерную модель этой же линейки.  Так...

Самой популярной из свежих видеокарт является мобильная GeForce RTX 4060 Laptop. В Steam появилась свежая статистика Сервис Steam опубликовал свежую статистику по оборудованию пользователей по итогам декабря 2023 года.  создано DALL-E Как всегда, нас интересуют в первую очередь видеокарты. Тут без особых изменений. Лидером остаётся RTX 3060 даже без учёта мобильной версии. Карта...

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Представлен первый в мире ноутбук с 64-ядерным процессором AMD EPYC и настольной NVIDIA RTX 4080 Китайский производитель ноутбуков Xinjuneng анонсировал ноутбук в который встроен серверный чип EPYC.

Археологи обнаружили еврейскую табличку с проклятиями и самым древним именем Бога Древняя еврейская табличка с текстом, созданная более 3000 лет назад Небольшая табличка, размером с крупную почтовую марку, может содержать древние проклятия на ранней форме иврита. Кроме того, по мнению специалистов, текст призывает Бога проклясть человека, нарушившего свое...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

14 популярных воздушных кулеров для вашего процессора Современные башенные воздушные кулеры различаются как строением радиатора, количеством тепловых трубок, материалами, наличием теплосъёмной пластины или её отсутствием, качеством изготовления, так и моделями используемых вентиляторов и даже особенностями монтажа в системе. ...

Выпрямитель для волос Redmond HS1714: нагрев за 30 секунд, 6 режимов работы и плавающие пластины с керамическим покрытием Выпрямитель Redmond HS1714 превосходно справляется с укрощением густых вьющихся волос за довольно короткое время. Стайлер готов к работе через 30 секунд, имеет удобную наглядную шкалу индикации нагрева и широкий диапазон настроек температуры, благодаря чему его без опасения ...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Volkswagen показала автомобиль с искусственным интеллектом. Возможно, это новый Golf Volkswagen опубликовала тизер нового автомобиля, который производитель представит на выставке CES на этой неделе. Volkswagen не подтвердил название машины, но carscoops утверждает, что это может быть обновленный Golf. Тизер показывает «почти серийный автомобиль&ra...

AMD упакует 32 процессорных ядра в один чиплет. Такими будут уже CPU на архитектуре Zen 6 Несмотря на то, что в Сети ещё достаточно мало информации об архитектуре AMD Zen 5 и продуктах на её основе, сегодня мы получили достаточно подробные данные о CPU на основе Zen 6.  Информация касается в основном серверных CPU, но она всё равно важна, потому как ко...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Intel то ли соврала, то ли напутала. Представленные процессоры Core 14-го поколения не имеют поддержки Thunderbolt 5 Компания Intel на днях представила первые процессоры Core 14-го поколения. Эта линейка будет актуальной, как ожидается, около года до момента выхода Arrow Lake. К сожалению, вопреки ожиданиям и первоначальным слухам, новинки Intel не поддерживают интерфейс Thunderbolt 5...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Первые отечественные мосты для КамАЗов К5 будут произведены во втором квартале 2025 года Директор автозавода КамАЗ Антон Сарайкин рассказал, когда начнется выпуск отечественных мостов для отечественных грузовиков КамАЗ К5. Случится это еще не скоро, но КамАЗ уже ведет активные работы по подготовке к этому. Изображение: КамАЗ «Основная идея проекта &n...

В Китае разработаны 1600-ядерные чипы, использующие целую пластину Тот момент когда больше - значит лучше

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

«Лунный» процессор Intel был впервые протестирован. 20-ядерный Lunar Lake появился в базе SiSoft Sandra Компания Intel уже явно располагает образцами процессоров Lunar Lake, потому как один из них засветился в базе SiSift Sandra.  Определить CPU позволило кодовое имя платформы — LNL-M LPP RVP1. ПО говорит о поддержке памяти LPDDR5, то есть это мобильный процес...

Теперь Intel обещает 20-40% прироста и даже больше, но хватит ли этого, чтобы догнать монстров AMD? В Сеть попал документ о CPU Xeon поколения Emerald Radips В первой половине следующего года Intel выпустит серверные процессоры Xeon поколения Emerald Radips, которые заменят Sapphire Rapids и будут самыми производительными CPU компании. Сегодня в Сети появились документы Intel, посвящённые этим процессорам.  Характерист...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Всем санкциям вопреки: Huawei впервые представит настольный компьютер на базе собственного процессора В мае 2024 года Huawei откроет новую для себя нишу — компания представит первый настольный компьютер на базе собственного процессора. Новинка под названием Qingyun W515x будет ориентирована не столько на розничный рынок, сколько на корпоративных пользователей. Qi...

Первый чиплетный графический процессор AMD RX 7900M дебютировал в ноутбуке Alienware m18 Мощный мобильный графический процессор с предельной мощностью 180 Вт будет использоваться в мобильных устройствах, заменяющих настольные ПК.

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

АвтоВАЗ готовится к выпуску дешевой «Лады» — завод уже начал красить детали для Lada Iskra АвтоВАЗ начал в тестовом режиме окрашивать пластиковые элементы для Lada Iskra, о чем пишет Avtograd News в соцсети «ВКонтакте». В Производстве Пластмассовых Изделий ВАЗа в тестовом режиме начинают пробовать окрашивать пластиковые элементы для перспективного...

Intel решила не только значительно снизить частоты будущих процессоров, но и сконцентрироваться на 35-ваттных моделях. Появились новые подробности об Arrow Lake-S До выхода процессоров Intel Arrow Lake ещё довольно далеко, но подробностей о них всё больше. Согласно новым данным, нас ждёт 21 модель в линейке настольных Arrow Lake-S.   Всех названий нет, хотя некоторые мы на днях уже видели. В линейке будет три модели с разбл...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Худшие процессоры MediaTek, способные испортить любой смартфон Компания MediaTek уже давно перестала восприниматься как производитель плохих процессоров для смартфонов. Большинство ее чипсетов, выпущенных за последние 5 лет, почти не греется и демонстрирует отличные показатели мощности, зачастую превосходящие решения Qualcomm в своем к...

В новом трейлере Goddess Order показали пиксельный мир и играбельных персонажей Издатель Kakao Games и студия PixelTribe опубликовали пресс-релиз по мобильной игре Goddess Order. В нём сообщили о выходе нового трейлера, который показывает красоты пиксельного мира; он станет доступен геймерам со всего мира уже во 2 квартале этого года. Goddess Order п...

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Бывший российский завод Bridgestone будет выпускать премиальные шины Бывший завод Bridgestone в Ульяновске перезапустит производство шин до конца 2024 года, о чем пишет «Автопоток» со ссылкой на Вадима Володина. Гендиректор компании «Кордиант» Вадим Володин заявил журналистам, что в 2025-м бывший завод Bridgestone...

Переименованный Hyundai Solaris готов к началу российских продаж: выдано ОТТС на Solaris HS Одобрение типа транспортного средства (ОТТС) выдано и на седан Solaris HS, его получил выкупивший российские заводы Hyundai Motor холдинг AGR Automotive Group. Напомним, под этим названием будет выпускаться автомобиль, ранее известный, как Hyundai Solaris. ранее, напомн...

Nvidia DLSS кладёт на лопатки AMD FSR в современных играх в Full HD. Новое сравнение показывает заметную разницу Авторы канала Hardware Unboxed сравнили технологии масштабирования Nvidia DLSS и AMD FSR в современных играх и разрешении Full HD, где апскейлеры показывают себя хуже, нежели в более высоких разрешениях.  Технологии сравнивались в таких играх, как Cyberpunk 2077, ...

То есть Qualcomm сделала 12-ядерный Arm-процессор, который потребляет больше, чем 16-ядерный Core Ultra? Стали известны лимиты мощности для Snapdragon X Elite После вчерашних новостей об обмане Qualcomm уже вовсе не факт, что она изменит рынок ПК со своими SoC Snapdragon X, но компания точно уже вляпалась в скандал. И новые данные о высоком потреблении новых платформ подливают масла в огонь.  Компания никогда не заявлял...

На бывшем заводе Volvo в Калуге хотят собирать 12 грузовиков в день АО «Автомобильное моторное общество», которое сейчас производит грузовые автомобили на бывшем заводе Volvo в Калуге, планирует вдвое увеличить дневной выпуск грузовиков. По словам исполнительного директора компании Романа Петряева, работы идут в соответствии...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Samsung, Apple, Vivo и Huawei сделали это быстрее: Realme продала более 200 млн смартфонов Китайский производитель смартфонов Realme заявил, что с момента своего основания чуть более пяти лет назад он продал более 200 миллионов телефонов, большинство из которых реализованы за пределами Китая. Данные компании Counterpoint Research, занимающейся рыночным а...

AMD снова может запутать обычных пользователей названиями своих CPU. На глобальный рынок выйдут Ryzen 8000F, которые лишены iGPU и уступают Ryzen 7000 Настольные процессоры AMD Ryzen 7 8700F и Ryzen 5 8400F дебютировали в Китае совсем недавно, но вскоре должны появиться и на глобальном рынке.  Новинки уже получили каталожные номера: 100-100001590BOX и 100-100001591BOX. То есть они будут продаваться именно в розн...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Nvidia напоминает, что у многих из нас уже давно имеются Premium AI PC. Компания говорит, что GPU намного производительнее NPU в вычислениях ИИ Рынок ПК сейчас активно разворачивается в сторону искусственного интеллекта. Производители активно используют название AI PC, подразумевая под ним компьютеры, которые основаны на процессорах с выделенным блоком NPU. Однако Nvidia решила напомнить, что ИИ изначально опир...

Укрепление "органических" поддержек... Давеча печатал одну детальку гнусной формы (ученики наваяли в последний день перед защитой проектов, переделывать времени не было). Печатать пришлось с целым лесом органических поддержек в режиме "только от стола". На фото выше - печать на высоте около 4 см от стола, "деревь...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

GeForce RTX 3060 — официально самая популярная видеокарта у геймеров в Steam Valve опубликовала очередную статистику сервиса Steam. На сей раз по итогам завершившегося сентября.  И изменения на сей раз вполне заметные. GeForce RTX 3060 наконец-то стала однозначным лидером рейтинга. Сейчас эта карта имеется у 6,27% геймеров в Steam, причём в...

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

Говорят, что Google Pixel 8 приведет нас к цифровому апокалипсису. Правда ли это? Иногда умные люди говорят в Интернете очень глупые вещи. Такое бывает у всех, но это не повод не рассказать об этом. Ведь часто такая дезинформация вклинивается в мозг, и читатели начинают ожидать не того, чего действительно стоит ожидать. Конечно, это происходит каждый ден...

Первый в мире ноутбук с памятью LPCAMM2 и процессором Core Ultra 9. Представлен Lenovo ThinkPad P1 (Gen 7) Компания Lenovo выпустила очередное поколение ноутбука ThinkPad P1 (Gen 7), который является достаточно необычным с технической точки зрения и в целом уникальным на данный момент. Суть в том, что впервые на рынке он сочетает новейшие процессоры Intel Core Ultra и пока е...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

Apple первой почувствует рост стоимости 2-нм чипов TSMC Как следует из отчета аналитиков IBS, 2-нм пластины TSMC для производства чипов могут стоить до 30 000 долларов. Это на 50% дороже, чем пластины текущего поколения, стоимость которых колеблется в пределах $20 000. Ощутимее всего подорожание отразится на Apple, первой заказав...

Из ядра Linux удалили код для поддержки серверного процессора Intel Itanium Разработчики ОС Linux снимут с поддержки процессор с драматичной, но богатой историей — Itanium с архитектурой IA-64, который выпускался для серверов и рабочих станций.

Даёшь вдвое больше искусственно дорисованных кадров в играх! Технологии генерации кадров в Nvidia DLSS 3 и AMD FSR 3 заставили работать вместе Апскейлеры Nvidia DLSS и AMD FSR имеют собственные варианты технологии генерации кадров, которые и работаю по-разному, и доступны на разных видеокартах. Но оказалось, что можно заставить эти технологии работать вместе.  Авторы из QuasarZone решили проверить, получ...

Lenovo представила десктопные ПК Legion Blade 7000K с мобильными чипами Intel HX Lenovo старается улучшить соотношение цены и производительности настольных ПК, используя мобильные процессоры в линейке Legion.

Это российский Chery Tiggo 7 Pro под названием XCITE X-Cross 7. Первое фото Популярный в России кроссовер Chery Tiggo 7 Pro, который собирается на заводе «Автозавод Санкт-Петербург», будет выпущен на рынок под брендом XCITE. Об этом сообщает инсайдерский Telegram-канал «Автопоток»: «Chery Tiggo 7 Pro петербургской ...

Intel расщедрилась. Функция APO, которая значительно повышает производительность в играх, станет доступна и на процессорах Intel снова выпускает почти то же, что и год назад. Представлена оставшаяся часть линейки процессоров Raptor Lake Refresh Компания Intel всё-таки решила расширить поддержку функции Application Optimization (APO) на более старые процессоры.  Изначально компания зая...

Cerebras представила новый ИИ-чип CS-3 размером с пластину с 900 000 ядрами и 4 трлн транзисторов Вместо того, чтобы разрезать кремниевую пластину на отдельные микросхемы, компания Cerebras использует ее целиком для создания огромного чипа.

AMD готовит серверные процессоры серии EPYC 4004 для Socket AM5 По сравнению с процессорами Ryzen PRO 7000, которые предназначены для коммерческих настольных компьютеров, серия EPYC 4004 будет иметь больше ядер в диапазоне от 6 до 16, основанных на микроархитектуре Zen 4

Представлен другой Haval Raptor 2024. Он получил свежий дизайн и 238-сильный бензиновый мотор Новый Haval Raptor 2024, который готовится к выходу, появился в базе данных китайского Минпромторга, который опубликовал фотографии автомобиля. Ранее автомобиль вышел с гибридной трансмиссией Hi4-T, а на фотографиях показан новый Haval Raptor с чисто бензиновой силовой ...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Процессор KIrin 9000s проигрывает более старому Kirin 9000 в некоторых бенчмарках Процессоры недавно побывали в лаборатории Nanoreview. Там Kirin 9000s и Kirin 9000 были внимательно протестированы. Оказалось, что новый показывает лучшую производительность в процессорной части, но достаточно заметно отстаёт от прошлого поколения в части графических задач...

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Чем на это будет отвечать Intel? В Сети засветились 55-ваттный мобильный APU AMD Strix Halo с огромным iGPU и 12-ядерный Strix Point Мобильные процессоры AMD поколения Strix Halo действительно могут выйти в этом году. По крайней мере они уже засветились в транспортных документах.  Модели не указаны, да и названий, скорее всего, пока просто нет, но есть указание на TDP 55 Вт. Это немало для моби...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Мобильная версия игры Wizard of Legend скоро будет готова Первое видео для мобильной версии Wizard of Legend появилось на YouTube ещё в 2021 году. Теперь издатель Humble Games снова загрузил подобное видео, только короче и с надписью «выйдет скоро» в конце. Я ожидаю, что Wizard of Legend выйдет на iOS и Android до конца 2023 года....

Мобильные процессоры AMD Ryzen 8040U и настольные процессоры 7000G замечены в обновлении Zen 4 Эту информацию опубликовал известный блогер @harukaze5719 на Xwitter.

«Вояджер-1» возобновил отправку инженерных данных на Землю Впервые с ноября космический аппарат «Вояджер-1» снова начал передавать ценные данные о состоянии своих бортовых инженерных систем. Этот важный шаг ставит перед миссией новую цель — возобновить передачу научных данных. «Вояджер-1» и его соб...

В сети опубликованы фотографии тестовой платформы Intel Beechnut City для процессоров Xeon 6 Процессоры Intel Xeon 6 нового поколения, получившие кодовые названия Granite Rapids и Sierra Forest, готовы всколыхнуть рынок CPU своей передовой архитектурой и возможностями

В России создали первую партию алмазных пластин с заданными свойствами Учёные научно-образовательного центра (НОЦ) «Российская Арктика» в Архангельске создали первую опытную партию из 25 монокристаллических искусственных алмазных пластин с заданными параметрами. По словам научного руководителя НОЦ Марата Есеева, выпуск первой п...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

Владельцы топовых процессоров Intel массово пытаются вернуть CPU в магазины из-за проблем в играх. Только в Южной Корее это более 10 человек в день Похоже, проблема с мощнейшими процессорами Intel в играх имеет более масштабный характер, чем могло бы показаться. Как сообщается, в одной только Южной Корее в среднем каждый день более 10 пользователей обращаются в магазины, пытаясь вернуть CPU Core из-за проблем в игр...

Обзор процессорного кулера DeepCool AK400 Digital В целом серия Digital лишь добавляет тот самый экран для вывода информации на уже имеющиеся и популярные модели DeepCool, потому по своим возможностям они не должны принципиально различаться. Но так как AK400 мы в принципе ранее не испытывали, внимательно присмотримся к ег...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Huawei Pura 70 полностью независим от иностранных технологий Компания Huawei совсем недавно представила серию смартфонов Pura 70 в Китае, предоставив пользователям смартфон, который практически полностью не зависит от иностранных компонентов. Согласно отчёту специалистов из данной области, новые смартфоны компании содержат больше комп...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Продажи Skoda Octavia и Skoda Kodiaq, собранных в Казахстане, стартуют через два месяца Появились подробности о проекте сборки в Казахстане сразу четырех моделей Skoda: Octavia, Kamiq, Karoq и Kodiaq. На данный момент соглашение между Skoda и заводом Allur подразумевает только отверточную сборку, но если сотрудничество будет плодотворным, а автомобили буду...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Сборку российских двигателей для Haval показали на фото и видео. Новые детали Фотографии и видеоролик, которые демонстрируют сборку российских двигателей для автомобилей Haval в Тульской области, опубликовал главный редактор журнала «За рулем» Максим Кадаков. Строительство завода двигателей началось в ноябре 2020 года. Китайцы обещали...

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Проект Project Silica от Microsoft внедряет хранение данных с помощью стеклянных пластин Он позволяет сохранить огромные объемы информации на небольших стеклянных пластинах, гарантируя сохранность данных на тысячи лет.

Honor, а настолько тонким смартфоном нельзя будет порезаться? Складной Magic Vs2 будет тоньше и без того сверхтонкого Magic V2 В своё время производители смартфонов соревновались в том, кто выпустит самый тонкий аппарат. В этой гонке компании дошли до невероятных значений около 5 мм, а затем все резко перестали гнаться за тонкостью. Теперь, похоже, примерно этот же эта переживают складные смарт...

Это Google Pixel 8a. Первые живые фото Новый смартфон Google Pixel 8a, который мы до этого видели только на рендерах, показали вживую: фотографии опубликовал TechDroider. Самым большим изменением является задняя панель, где производитель отказался от глянцевого покрытия Pixel 7a в пользу матового. Рамк...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

В России появилась новая платформа для умных телевизоров – для телевизоров Ozon и со встроенными сервисами VK Ozon при сотрудничестве с VK разработал собственную операционную систему для умных телевизоров Hartens. Об этом рассказала пресс-служба  VK (бывшая Mail.ru Group).  Голосовой помощник Маруся, магазин приложений RuStore и другие сервисы VK, а также собственное...

Intel идёт по пути Apple. Компания показала процессор Lunar Lake с собственной оперативной памятью на подложке Компания Intel на CES 2024 не только представила остатки линейки процессоров Raptor Lake Refresh, но и показала совершенно новый CPU линейки Lunar Lake.  Эти процессоры появятся в текущем году, вероятно, в самом его конце, и в целом придут на смену Meteor Lake. Но...

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

[Перевод] Проектирование аналога Google Docs Google docs – это сервис для совместного редактирования документов. В целом подобные сервисы можно спроектировать двумя способами: В качестве централизованного ресурса, использующего клиент-серверную архитектуру для предоставления возможности редактирования документа всем...

Только «механика», только хардкор — это Subaru WRX TR 2024 Первые фотографии и подробности о Subaru WRX TR 2024 года опубликовало издание carscoops. Эта специальная модель, презентация которой запланирована на мероприятие Subiefest во Флориде в ближайшие выходные. Официальные тизеры ранее демонстрировали набор отдельных колес,...

Процессоры Intel Meteor Lake всё же выйдут на ПК Некоторое время назад представители компании Intel официально сообщили о том, что они не планируют новое поколение процессоров Meteor Lake выпускать на десктопных компьютерах. Это достаточно странное решение, которое не понравилось многим геймерам, потому что они надеялись п...

АвтоВАЗ выпустит больше Lada Granta, чем планировалось Как сообщает инсайдерский паблик Avtograd News, АвтоВАЗ принял решение собирать Lada Granta по субботам. То есть в феврале машин будет выпущено больше, чем планировалось. Изображение: Lada «Принято решение сделать рабочими субботы 10 и 17 февраля, смена продлится...

Процессор Intel полувековой давности. 50 лет назад компания представила Intel 8080 Полвека назад компания Intel представила процессор Intel 8080.   12 апреля 1974 года в журнале Electronics была опубликована фактически анонсирующая статья Масатоши Симы и Федерико Фаггина о новом CPU компании.   Новый процессор содержал около 4500 транзистор...

Alphacool представила новую пластину Core Distro Plate для Lian Li O11 Dynamic Evo/XL Эта Distro Plate может быть легко прикреплена к боковой панели корпуса O11 Dynamic Evo/XL.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

«Это практически штучный товар, салоны получат по 1-2 автомобиля в месяц», — самая дорогая Lada Vesta пока выпускается только в кузове седан Подразделение АвтоВАЗа, которая занимается выпуском спортивных версий Lada, активно занимается сборкой седана Lada Vesta NG Sportline, о чём сообщает инсайдерский паблик Avtograd News. Компания LADA - Sport в усиленном режиме ведeт сборку автомобилей Vesta Sportline se...

Сокет AM5 будет сменён уже в этом году? Данные о новых процессорах AMD говорят о том, что они будут иметь немного иное исполнение В этом году компания AMD должна представить множество новых процессоров на архитектуре Zen 5. Кроме прочих, там будут и настольные Granite Ridge. Интересно, что они могут получить исполнение не AM5, а AM5+.  По крайней мере именно такое название сокета значится в ...

Qualcomm Snapdragon X Elite демонстрирует прирост производительности Некоторое время назад мобильный процессор Qualcomm Snapdragon X Elite прошёл целый цикл тестов в составе какого-то ноутбука компании Lenovo (производитель не называет модель и она не отображается в синтетическом тесте, так что об этом можно только догадываться). Данный ноутб...

Внешний вид первого автомобиля Xiaomi создали дизайнеры BMW Крис Бэнгл, знаменитый дизайнер BMW, помогает Xiaomi проектировать автомобили, о чем сообщает профильное издание motor1. Первый автомобиль Xiaomi под названием Xiaomi SU7 — это большой электрический седан, который будет выпускаться по контракту силами BAIC. Ведущи...

В России начали выпускать больше автомобилей Solaris (Hyundai Solaris, Creta, Kia Rio) На бывшем российском заводе Hyundai начали выпускать больше автомобилей Hyundai и Kia — об этом сообщила AGR Automotive Group, которой сейчас и принадлежит производство. Апрель еще не завершен, но в текущем месяце уже выпущено 2100 автомобилей. При том, что всего ...

Владельцы Apple Vision Pro жалуются головные боли и проблемы с шеей Не секрет, что первые версии гаджетов часто обладают рядом проблем, которые удается решить только со временем. Ранее инженеры Apple в частной беседе с журналистами говорили о том, что Vision Pro пока нельзя назвать идеальным устройством. Подобные выводы подтверждает и ресурс...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Отрицание, принятие… настольные игры Эта история началась давно, еще в «доковидные» времена. Тогда я считала, что весь мир настолок состоит из монополии, манчкина, элиаса и уно. Для меня настольная игра была дополнением к вечеринке, но никак не причиной для встречи. Это хобби вызывало во мне бурю эмоций и непон...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

В России представлен аналог Tinder с ИИ Российские программисты разработали сайт знакомств, который использует искусственный интеллект для подбора пар. Эту информацию опубликовала газета «Известия», ссылаясь на авторов проекта, название которого пока не называется. Группа разработчиков из Санкт-Пе...

AMD, а кому вообще нужны эти процессоры по таким ценам? На глобальный рынок вышли Ryzen 7 8700F и Ryzen 5 8400F Компания AMD анонсировала настольные процессоры Ryzen 7 8700F и Ryzen 5 8400F для глобального рынка.  Новинки ранее были представлены в Китае, а теперь и за его пределами. За младшую модель просят 170 долларов, а старшую оценили в 270 долларов. Это дешевле, чем пр...

HMD выпускает первый европейский телефон Nokia XR21 5G ограниченной серии Новые аппараты были выпущены в ограниченной серии, всего было произведено 50 единиц, из которых 30 будут доступны для покупки на официальном сайте мобильных телефонов Nokia.

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Наконец-то AMD вернётся к наращиванию количества ядер у своих процессоров. В Сети засветился APU Ryzen поколения Strix Point с 12 ядрами В базе Geekbench засветился мобильный процессор AMD линейки Strix Point. Новинка пока не имеет имени и скрывается за кодом 100-000000994-14_N. ПО подтверждает последние слухи и утечки о том, что количество ядер у процессоров Strix Point будет увеличено с текущих восьми...

Замечено первое фото нового процессора AMD Ryzen 9000 для настольных ПК Ожидается, что предстоящие процессоры AMD серии Ryzen 9000 для настольных ПК на базе новой микроархитектуры Zen 5 выйдут по графику и будут выпущены не позднее конца года.

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

GeForce GTX Titan спустя 11 лет зачастую не способна опередить даже Radeon RX 6400 с 96-битной шиной Авторы PC Games Hardware решили протестировать видеокарту GeForce GTX Titan — первое поколение линейки Titan, которое вышло 11 лет назад.   фото: iXBT На момент выхода это было весьма впечатляющее решение с ценой 1000 долларов. Карта оснащалась GPU GK110 с 2...

Рано радовались: Windows 12 не выйдет в 2024 году Слухи приписывали Microsoft выпуск в текущем году операционной системы Windows 12: предполагалось, что разработчики вновь перешли на цикл выпуска новой ОС каждые три года, и в таком случае появление Windows 12 в 2024 году было логичным. Но, как сообщил ресурс Windo...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Ростелеком начнёт выпуск российских базовых станций в первой половине 2024 года Президент Ростелекома Михаил Осеевский на выставке «Россия» заявил, что компания выпустит на своих предприятиях первые образцы базовых станций для мобильной связи ещё до середины 2024 года. Он добавил, что следующим этапом импортозамещения для предприят...

Не будет никакого Huawei P70. Вместо него выйдет Huawei Pura 70 Все ждут новейший флагман Huawei P70, но его не будет: вместо него Huawei выпустит Pura 70. Впервые за долгое время компания переименовала линейку своих топовых смартфонов, о чем сообщил инсайдер Digital Chat Station. Одновременно в Китае опубликован первый тизер новой ...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Интегрированное графическое ядро, которое мощнее PlayStation 5. Процессоры AMD Strix Halo подтвреждены Компания AMD фактически подтвердила существование процессоров под кодовым именем Strix Halo.   Сначала их ID (GFX1150/1151) появились в базе ROMc, а затем появились уже непосредственно названия серии.  Само собой, технических подробностей там нет, так как так...

АвтоВАЗ «даст ответ на внешние вызовы». В следующем году завод собирается собрать полмиллиона машин Глава АвтоВАЗа Максим Соколов на итоговом расширенном заседании челябинского ООО «СоюзМаш России» сообщил, что в 2024 году завод ставит своей целью собрать полмиллиона автомобилей. Изображение: Lada «Наш производственный план в этом году около 400 тыс...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Nvidia научилась делать суперпроцессоры? Nvidia Grace Hopper GH200 с 72 ядрами Arm порой обходит два 96-ядерных AMD Epyc В Сети появились первые тесты процессора Nvidia Grace Hopper GH200, ориентированного на ЦОД, суперкомпьютеры и системы искусственного интеллекта. Оказалось, у Nvidia вполне получилось создать продукт, который может конкурировать с решениями AMD и Intel.  Ресурс Ph...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Подорожала самая экстремальная «Нива»: стартовали продажи Lada Niva Bronto 2024 Если ранее Lada Niva Bronto — самая экстремальная из «Нив» — оснащалась мотором, отвечающим нормам выброса «Евро-2», то с переходом в новый модельный год «Нива» стала экологичнее — теперь она отвечает нормам экологич...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Да, Ryzen 7 8840U не предлагает вообще ничего нового. Первый тест этого APU показывает, что разница с Ryzen 7 7840U не превышает 2% Компания GPD одной из первых анонсировала портативную игровую консоль на основе APU Ryzen 8000. И сегодня производитель поделился результатами производительности.  В данном случае речь об APU Ryzen 7 8840U — флагмане новой линейки AMD. Результат есть пока в ...

Приключение Valiant Hearts Coming Home выйдет 7 марта на ПК и консолях 7 марта приключенческая головоломка от Netflix и от издателя Ubisoft о Первой мировой войне выйдет в новом формате, ранее игра была доступна только на мобильных устройствах.

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Характеристики AMD Ryzen 8000G попали в сеть Сегодня характеристики и основные особенности APU (система на кристалле с интегрированной графикой) AMD Ryzen 8000G под кодовым названием Hawk Point утекли в сеть благодаря компании ASRock, которая эту информацию подтвердила. Новые системы на кристалле из семейства Hawk Poin...

Следующая версия Windows на подходе. Qualcomm заявила, что новая ОС выйдет в середине года Глава Qualcomm Криштиану Амон (Cristiano R. Amon), похоже, подтвердил, что в этом году нас ждёт новая версия Windows. Правда, пока нельзя однозначно утверждать, что речь идёт о Windows 12. создано DALL-E Выступая на мероприятии, посвящённом квартальному отчёту, Амон за...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Важен ли процессор для современных игр? Свежий обзор при участии трёх видеокарт, трёх разрешений и нескольких режимов даёт наглядный ответ Авторы канала Hardware Unboxed решили в очередной раз исследовать влияние процессоров на производительность в современных играх. В отличие от многих других таких тестов, они взяли CPU, разделённые двумя поколениями, причём ещё и из разных линеек, а также три видеокарты ...

Как правильно выбрать смартфон на процессоре Snapdragon и не прогадать После прочтения текста о главном обмане процессоров MediaTek у многих людей могло возникнуть впечатление, будто в чипах MTK сложно разобраться, ведь тайваньская фирма то и дело перевыпускает старое железо. Однако путаница возникает и при беглом взгляде на процессоры Snapdra...

Какие компьютеры Apple получат процессор M4 и когда они выйдут Вся линейка компьютеров Apple будет переведена на процессоры M4. Изображение: macrumors.com Последние годы график выхода новых компьютеров Apple постоянно менялся, и выявить какую-то закономерность было очень сложно. То MacBook Air привычно выходил на каждой WWDC, то его не ...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Процессор Apple M4 сделал iPad Pro 2024 мощнее новых макбуков. Насколько он круче других чипов от Apple? 7 мая компания Apple представила iPad Pro 2024, неожиданным компонентом которого стал новый процессор Apple M4. Внезапным это появление стало по двум причинам. Во-первых, предшествующий Apple M3 вышел меньше года назад. Во-вторых, процессоры M-серии, будучи самыми производи...

Всего 300 долларов за восемь полноценных ядер Zen 4, но без iGPU. Ryzen 7 8700F выходит на глобальный рынок Процессоры Ryzen 7 8700F и Ryzen 5 8400F недавно дебютировали в Китае, а теперь, как и сообщалось ранее, готовы выйти на глобальный рынок.  Если точнее, старшая модель уже прописалась на сайте Amazon, где значится с ценой 300 долларов. Ryzen 5 8400F ожидается чуть...

Samsung будет производить 3-нм серверные процессоры для неизвестной компании Samsung получила еще один заказ по технологии 3 нм, на этот раз для серверного процессора

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

Глава Роскосмоса: в России будут производить до восьми тяжёлых ракет «Ангара-А5» в год Производственное объединение «Полёт» (входит в Роскосмос) при работе на максимальной мощности должно быть способно выпускать до восьми тяжёлых ракет-носителей «Ангара-А5» в год. Об этом сообщил генеральный директор Роскосмоса Юрий Борисов во врем...

Китайская космическая станция — начало проекта и его перспективы. Что планирует КНР? На днях Китай опубликовал новые снимки своей орбитальной космической станции «Тяньгун». Насколько можно судить, это первые фото полностью готового объекта — раньше были фотографии объекта с неполной комплектацией, а также обычные рендеры. Сейчас «Тяньгун» готова к работе, и ...

Мобильную версию Fall Guys могут показать на The Game Awards 2023 Для Fall Guys вышло несколько китайских альтернатив для смартфонов, включая Eggy Party. И пока студии из Поднебесной соревновались друг с другом за лучшую альтернативу, разработчики Fall Guys тихо готовили мобильную версию. Инсайдер Beat Bot - Fall Guys Leaks сообщил, что A...

Системная плата с собственной испарительной камерой на процессоре. Erying представила необычный продукт с мобильными CPU Intel Компания Erying представила весьма необычную системную плату для настольных ПК. Выделяется новинка двумя особенностями: распаянными процессорами и системой охлаждения.  Если говорить о CPU, то речь о том, что тут уже распаян какой-либо из процессоров мобильной лин...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Intel может уйти с рынка дискретных видеокарт, в который только успела зайти? Надёжный источник говорит, что от поколения карт Battlemage не осталось и следа Похоже, у Intel снова проблемы с тем, чтобы выпустить новые дискретные видеокарты вовремя. Поколение адаптеров Battlemage может не выйти в этом году. Или вообще.  Инсайдер Golden Pig Upgrade Pack утверждает, что от Battlemage не осталось и следа, а линейка DG3 в с...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Не видать нам более дешёвой версии складного Galaxy Z Fold6. Samsung передумала выпускать такой смартфон в этом году Компания Samsung, как сообщается, пересматривает свои планы относительно выпуска доступной версии складного смартфона Fold этой осенью.  Ранее уже были данные о том, что Samsung планирует выпустить в этом году модель Galaxy Z Fold6 начального уровня в дополнение к...

Apple выпустила MacBook Air M3 с 24 ГБ ОЗУ и 2 ТБ памяти. Чем он отличается от MacBook Air M2 Сегодня, 4 марта, Apple показала новые MacBook Air на процессоре M3. Причем компания из Купертино обновила сразу обе модели своих самых легких ноутбуков — на 13 и 15 дюймов. При том что последняя вышла лишь летом 2023 и не пробыла на рынке даже года, купертиновцы смел...

Новейший бестселлер Redmi Turbo 3 Harry Potter вживую выглядит ещё более эффектно. Опубликованы качественные фотографии Качественные живые фотографии нового смартфона Redmi Turbo 3 Harry Potter опубликовал китайский инсайдер Ice Unverse. Ранее сообщалось, что кастомизированная версия смартфона пользуется огромным спросом, при этом вся стартовая партия была моментально распродана по пред...

[Перевод] Wasm vs Docker containers vs Kubernetes vs serverless: битва за первенство В начале года на YouTube-канале DevOps Toolkit вышло видео с разбором WebAssembly. Автором ролика является Виктор Фарчич (Viktor Farcic) — developer advocate в Upbound, член CNCF Ambassadors, Google Developer Experts, CDF Ambassadors и GitHub Stars.Мы перевели видео про WebA...

[Перевод] 50 лет спустя: Революционный микропроцессор 8008 Революционный микропроцессор Intel 8008 был впервые выпущен более 50 лет назад. Это был первый 8-битный микропроцессор Intel и предшественник семейства процессоров x86, которые вы, возможно, используете прямо сейчас. Найти хорошие фотографии матрицы 8008 не удалось, поэто...

А вот тут у Intel, похоже, с первого раза получилось не очень. Тесты показывают, что годовалый Ryzen 7 7840U уверенно обходит свежий Core Ultra 7 155H в задачах ИИ Современные мобильные процессоры Intel и AMD теперь можно сравнивать не только по производительности обычных ядер, но и блоков NPU для работы с искусственным интеллектом. Тесты показывают, что APU Ryzen выигрывают.  Сразу стоит оговорить, что это тесты самой AMD. ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Пластины большего размера в модулях Astronergy ASTRO N7 удешевят солнечные электростанции Компания Astronergy, первопроходец в области производства фотоэлектрических модулей TOPCon n-типа, объявила о пополнении серии модулей ASTRO N7 TOPCon новыми линейками изделий на 66 прямоугольных ячейках, которые готовы к запуску в серийное производство и предлагают клиентам...

Даже в 2025 году у процессоров Intel будет лишь восемь больших ядер. Зато обновлённые Arrow Lake получат до 32 малых ядер На текущий момент лучшие настольные потребительские процессоры Intel Core предлагают максимум 24 ядра: восемь больших и 16 малых. У процессоров Meteor Lake больших ядер будет максимум шесть. CPU Arrow Lake, которые выйдут в следующем году, также будут придерживаться фор...

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Сначала AMD и Qualcomm, затем Intel, а Apple будет последней. Появилась дорожная карта, описывающая график выхода новых процессоров всех компаний Согласно данным аналитиков Canalys, однокристальная система Apple M4 может увидеть свет в начале 2025 года.  Дорожная карта, построенная аналитиками, говорит о запуске продуктов на SoC M4 в первом или втором квартале 2025 года.  Напомним, SoC M3 присутствует ...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Эти чипы могут перевернуть рынок ПК. В Сети засветились SoC Qualcomm линеек Snapdragon X Elite и Snapdragon X Plus для ПК с Windows В этом году Qualcomm выпустит SoC Snapdragon X Elite для ноутбуков с Windows, возможно, дав второй толчок для развития ПК с Arm и Windows. Оказалось, что нас ждёт не одна платформа, а целая линейка. Точнее, даже две.  Названия обнаружились в драйвере дисплея....

Крупнейшая атомная стройка в мире. Первый энергоблок российско-турецкой АЭС «Аккую» получил разрешение на ввод в эксплуатацию Первый энергоблок строящейся в Турции АЭС «Аккую» получил разрешение турецких властей на ввод в эксплуатацию, о чем говоримтся в Telegram-канале Росатома. «Решение Агентства по ядерному регулированию Турции о выдаче разрешения на ввод в эксплуатацию пе...

Intel выпустила бюджетную альтернативу процессорам Lunar Lake Intel представила свои процессоры Arrow Lake-U с низким энергопотреблением, задуманные как доступная альтернатива чипам Lunar Lake и специально ориентированные на тонкие и легкие ноутбуки. Эти новые процессоры будут расширять ассортимент ноутбуков Intel для различных платфор...

Microsoft тестирует установку обновлений Windows 11 без необходимости в перезагрузке Мало кто любит обновления в Windows, поскольку они прерывают ваш рабочий процесс или игровую сессию окном с просьбой перезагрузить компьютер для установки очередного ежемесячного накопительного обновления. Это происходит как минимум раз в месяц, поскольку подобные обновлени...

Многоразовая ракета «Ангара-А5» будет рассчитана на 10 запусков Многоразовый блок тяжёлой ракеты-носителя «Ангара-А5» будет рассчитан на десять полётов, рассказал главный конструктор и заместитель гендиректора НПО «Энергомаш» Пётр Лёвочкин. В его презентации, показанной на «Королёвских чтениях», б...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Intel доигралась и больше не будет заставлять свои процессоры работать на сверхвысоких частотах? Core Ultra 9 285K приписывают частоту 5,5 ГГц На фоне истории со сбоями в работе топовых процессоров Core i9 мы предполагали, что это может повлиять на грядущие CPU Arrow Lake-S. Возможно, сейчас мы получили этому первое подтверждение.  Как сообщается, топовый процессор Core Ultra 9 285K, который мог бы называ...

Dimensity 9300, LPDDR5T, топовая камера Zeiss и рекордная производительность. Предзаказы на Vivo X100 уже идут, а производитель подтвердил ключевые характеристики Компания Vivo официально объявила, что серия Vivo X100 первой в мире получит чип обработки изображений собственной разработки V3 и флагманскую однокристальную систему Dimensity 9300. В MediaTek Dimensity 9300 используются высокопроизводительные ядра: 4 Cortex-X4 и 4 Cor...

Никому неизвестный стартап Groq представил, видимо, лучший процессор для вывода нейросетевых моделей На рынке чипов для ИИ появился новый игрок. Судя по всему, с большим потенциалом. Компания Groq представила свой процессор, который, похоже, ощутимо превосходит конкурентов.  Начать стоит с того, что Groq (процессор называется так же) — это не CPU и не GPU. ...

Основное оружие AMD против Intel в 2024 году. Процессоры на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании Похоже, процессоры AMD на основе архитектуры Zen 5 уже не просто выпущены, а уже покинули стены лаборатории компании. Как минимум некоторые из них были замечены в товарных декларациях.  В данном случае речь о процессорах Strix Point и Fire Range. Особых подробност...

Один производитель, чтобы править всеми. Toyota возглавляет мировой рынок уже четыре года подряд Компания Toyota Motor четвертый год подряд занимает первое место на мировом рынке по объемам продаж автомобилей, о чем пишет ТАСС со ссылкой на агентство Kyodo. Toyota еще не опубликовала официальные данные по продажам за 2023 год, но, по данным агентства, японская комп...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

VIS готовится потратить $2 млрд на строительство нового предприятия в Сингапуре Оно впервые в истории компании будет работать с кремниевыми пластинами типоразмера 300 мм.

Смартфон Xiaomi 15 Pro получит перископический объектив и изогнутый экран с разрешением 2K Надёжный инсайдер Digital Chat Station раскрыл некоторые подробности о характеристиках грядущего флагманского смартфона Xiaomi 15 Pro. Источник заявил, что ему удалось изучить ранний прототип устройства. Сообщается, что аппарат получит экран с небольшим изгибом и разреш...

MediaTek Dimensity 9400 получит новое производительное ядро Cortex-X5 В ноябре прошлого года компания MediaTek официально выпустила процессор Dimensity 9300, представив уникальный дизайн с четырьмя производительными ядрами Cortex-X4 — обычно производители используют всего одно такое ядро. Соответственно, в отличие от традиционных конфигураций ...

Критики оценили потенциал интеллектуального устройства AI Pin, которое должно заменить смартфон, и выразили лишь осторожный оптимизм Humane представила миру своё интеллектуальное устройство с искусственным интеллектом, — AI Pin, которое вызвало горячий интерес в сообществе потребителей. Однако первые обзоры, опубликованные крупными изданиями, указывают на то, что потребителям стоит воздержаться...

Вышел трейлер первого короткометражного фильма, созданного нейросетью, который выйдет в потоковом видеосервисе Первый короткометражный фильм, созданный при помощи нейросетей, выйдет уже этим летом.  Компания TCL анонсировала трейлер романтической комедии Next Stop Paris, которая выйдет в потоковом сервисе TCLtv+/TCL TV.   Известно, что история основана на оригинальном...

Linux на смартфоне Android — как и зачем? Linux - операционная система, которая является аналогом всеми известного "Окошка". Сама операционная система имеет множество вариаций, и устроена куда сложнее Windows. В ней надо активно использовать терминал для установки нужных утилит, когда на Windows среднестатистический...

Это последний ноутбук Huawei на процессорах Intel? MateBook X Pro вышел на глобальный рынок Ноутбук Huawei MateBook X Pro выходит на глобальный рынок. Это устройство стало одним из тех, которые были представлены вчера. Ещё одним были умные часы Watch Fit 3 в дизайне Apple Watch.  Цена MateBook X Pro на глобальном рынке пока не объявлена. В Китае за новин...

Два кабеля, два зарядных устройства, чехол и гарнитура. Huawei Mate 60 RS Ultimate Design получил богатый комплект поставки После сегодняшней осенней конференции по запуску новинок Huawei издание IT Home первым опубликовало фотографии распаковки флагманского смартфона Huawei Mate 60 RS Ultimate Design. В IT Home получили черную версию смартфона с 16 ГБ ОЗУ и 512 ГБ флеш-памяти, которая поме...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

AMD выпустит новые графические процессоры для ноутбуков RX 7700M/7800M на CES 2024 По данным TechPowerUp, AMD вскоре выпустит видеокарты для ноутбуков на базе графического процессора Navi 32. Речь идёт о моделях под названием RX 7700M и RX 7800M. До этого момента AMD выпустила различные графические процессоры для ноутбуков серии RX 7000, включая высок...

АвтоВАЗ продолжает тестировать новинку Lada Iskra АвтоВАЗ продолжает тестировать автомобиль Lada Iskra, что подтверждает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Ещё в июле появились первые фотографии машины, которая должна со временем заменить Lada Granta. Теперь прототипы оставляют на площ...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Первый в мире мини-ПК на процессоре, как у игровой приставки Asus ROG Ally. Edge Z1 основан на Ryzen Z1 Компания AMD ранее в этом году представила гибридные мобильные процессоры Ryzen Z1 и Z1 Extreme, предназначенные для портативных приставок. Оказалось, что на рынке вскоре появится мини-ПК с таким APU.  Модель называется Edge Z1 и основана, как ясно из названия, на...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

Nintendo Switch 2 выйдет в первом квартале 2025 года Первоначально выход консоли Switch второго поколения планировался на конец текущего года

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Видеокарты GeForce RTX 50 не получат память GDDR7 с частотой 32-37 ГГц. Они будут довольствоваться более медленной памятью Похоже, видеокарты GeForce RTX 50 как минимум в первой волне не получат память GDDR7 с эффективной частотой 37 или хотя бы 32 ГГц.  Инсайдер kopite7kimi говорит, что на старте нового поколения Nvidia будет использовать новую память с частотой 28 ГГц.  Это вес...

Рамки экрана станут по-настоящему ультратонкими. Подробности о Xiaomi 15 и Xiaomi 15 Pro от надежного источника Несмотря на то, что смартфоны Xiaomi 14 и Xiaomi 14 Pro вышли на рынок лишь чуть больше месяца назад, инсайдер Digital Chat Station уже раскрыл подробности об их преемниках. У Xiaomi 14 Pro и так тонкая рамка, но в Xiaomi 15 Pro она станет еще тоньше По словам информат...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)