Социальные сети Рунета
Вторник, 21 мая 2024

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Что будет, если запустить игру с трассировкой лучей только на процессоре без использования видеокарты? Тесты показали, что будет 1 к/с Современные видеокарты уже неплохо справляются с трассировкой лучей, хотя тут многое зависит от её реализации. Теперь же появилась возможность запустить трассировку на CPU.   Разработчик библиотеки Mesa реализовал поддержку трассировки лучей на процессоре в Vulkan...

Владельцы Pixel 8 и Pixel 8 Pro снова останутся в стороне от остального рынка флагманских смартфонов. Новинки Google не поддерживают трассировку лучей Новые смартфоны Google Pixel 8 и 8 Pro не поддерживают аппаратное ускорение трассировки лучей. Потому что такой поддержки нет у SoC Tensor G3.  Авторы Android Authority говорят, что им это подтвердила лично компания Google. Также наши коллеги попытались запустить ...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Графические процессоры AMD RDNA 4 могут получить память GDDR6 с пропускной способностью 18 Гбит/с Согласно утечкам, графические процессоры AMD RDNA 4, известные как "RX 8000-series", вероятно будут использовать память GDDR6 с пропускной способностью 18 Гбит/с

Графические процессоры NVIDIA GeForce RTX 50 Blackwell возможно сохранят интерфейс памяти Ada Похоже, что топовые модели Blackwell будут использовать 384-битный интерфейс памяти.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

PlayStation 5 Pro выйдет до конца 2024 года О консоли PlayStation 5 Pro в прошлом году было очень много информации — инсайдеры слили характеристики процессора, графического ускорителя и памяти, хотя, конечно, никакого подтверждения этой информации нет. Теперь же поставщики секретной информации заявили, что компания So...

GeForce 4070 SUPER получила больше кэш-памяти, чем сообщалось ранее — в презентации была ошибка Доступен весь объём кэш-памяти 2-го уровня используемого графического процессора AD104.

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Видеокарты NVIDIA GeForce RTX 50 будут использовать память нового поколения GDDR7 Графические процессоры серии NVIDIA GeForce RTX 50, которые называются "Blackwell", будут использовать память нового поколения GDDR7 с конфигурацией интерфейса памяти, которая не сильно отличается от текущего поколения GPU

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Разработчику удалось запустить трассировку лучей в Quake II RTX через CPU Эксперимент был поставлен на игре Quake II RTX с включенной трассировкой лучей без использования GPU.

NVIDIA выпустила графический драйвер для Diablo IV с трассировкой лучей и 3-го сезона в COD NVIDIA GeForce Game Ready версии 552.12 доступен для загрузки.

Signal Integrity of DDR4 Доброго времени суток! Наверное, вы все знакомы с компьютерной оперативной памятью DDRx (где x - поколение). Я бы хотел вам рассказать о ней с точки зрения SI (Signal Integrity - целостность сигналов) и принципов трассировки этого интерфейса. Читая документацию на различны...

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

[Перевод] Эффективные трассировки в Go Пакет runtime/trace содержит мощный инструмент для понимания программ Go и устранения неполадок. Функциональность внутри позволяет создавать трассировку исполнения каждой горутины за определенный период времени. С помощью go tool trace command (...

Игры для PS5 Pro получат специальную метку Согласно документам, которые получило информационное издание InsiderGaming вчера вечером, компания Sony будет использовать новую метку «PS5 Pro Enhanced», чтобы сообщить игрокам, какие игры действительно используют новые возможности консоли. По данным издания, чтобы получить...

В графическом процессоре PS5 Pro могут реализовать механизм трассировки лучей из архитектуры RDNA4 А вот процессор, судя по всему, изменится меньше всего.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Nintendo Switch 2 получит трассировку лучей от NVIDIA Вокруг игровой приставки Nintendo Switch 2 и её потенциальных возможностей ходят весьма интересные слухи, но есть большая вероятность, что это преувеличения. Например, есть мнение, что новая консоль Nintendo будет опережать по производительности PlayStation 5 и Xbox Series X...

Horizon Forbidden West не получила трассировку лучей из-за деревьев Изначально поддержка трассировки лучей рассматривалась разработчиками из компании Sony во время портирования видеоигры Horizon Forbidden West на ПК, но в результате технология была отменена по целому ряду причин. Сами разработчики уверяют, что поддержка трассировки лучей был...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Видеокарты Radeon RX 7800 XT и GeForce RTX 3080 сравнили в 10 играх с трассировкой лучей и без Сравнение графических ускорителей провел автор популярного YouTube-канала Testing Games.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

NVIDIA GeForce RTX 50 Blackwell получит память GDDR7 со скоростью 28 Гбит/с Как сообщает источник, новые графические процессоры будут состоять из флагманских чипов GB202 и GB203, а их конфигурация памяти может включать использование 28 Гбит/с GDDR7 с 512-битным интерфейсом

ТОП-6 видеокарт для 4K-гейминга — рейтинг 2024 года Рассказываю о производительных графических ускорителях, способных справиться с современными играми в разрешении 4K, в том числе и с трассировкой лучей

NVIDIA DLSS приходит в Sons Of The Forest, Hellbreach: Vegas и REVEIL Технологии NVIDIA, такие как DLSS, Reflex и трассировка лучей, продолжают интегрироваться в новые игры и приложения для улучшения опыта игроков на графических ускорителях GeForce RTX

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

PlayStation 5 Pro получит более мощный графический процессор и улучшенную трассировку лучей Sony уже просит гейм-разработчиков адаптировать свои игры под будущую PS5 Pro.

ТОП-6 видеокарт для QuadHD-гейминга — рейтинг 2024 года Рассказываю о производительных графических ускорителях, способных справиться с современными играми в разрешении 2K, в том числе и с трассировкой лучей

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Видеоускоритель Intel Arc A580 (8 ГБ): теория и архитектура, описание карты Gunnir, синтетические и игровые тесты, энергоэффективность, выводы Intel Arc A580 (8 ГБ) — третий по уровню игровой производительности видеоускоритель компании Intel для рынка настольных ПК. Он неплохо подходит для игр без RT в разрешении Full HD с максимальным качеством графики (возможно, в ряде игр для полного комфорта придется чуть пониз...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Программист сумел включить трассировку лучей на ZX Spectrum Трассировка лучей работает на 42-летнем компьютере ZX Spectrum.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Энтузиаст показал Resident Evil 4 Remake с трассировкой путей в 4K-разрешении Включение технологии трассировки путей в Resident Evil 4 Remake требует огромной производительности видеокарты.

Модер показал Avatar Frontiers of Pandora на RTX 4090 в 4K с трассировкой путей В игре совмещена трассировка лучей с ReShade.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

AMD RDNA 5 станет полностью новой графической архитектурой А тем временем архитектура RDNA 4 исправит недостатки третьей версии и улучшит трассировку лучей

Показана ультра-реалистичная версия Cyberpunk 2077 с трассировкой путей и модами Обычную трассировку лучей модеру пришлось полностью переделать.

Первые графические процессоры с памятью GDDR7 могут использовать 16-гигабитные чипы Первые графические процессоры с памятью GDDR7 могут использовать 16-гигабитные чипы, возможны 24-гигабитные

Microsoft запатентовала трассировку лучей на основе ресурсов, обещая меньшую нагрузку на VRAM GPU Microsoft запатентовала технику трассировки лучей, основанную на ресурсах, с целью оптимизации использования видеопамяти

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Microsoft хочет ускорить трассировку лучей с помощью твердотельных накопителей Microsoft запатентовала технологию использования твердотельных накопителей для ускорения трассировки лучей в играх за счет разгрузки видеопамяти.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

[Перевод] Введение в трассировку лучей: простой метод создания 3D-изображений. Часть 3 — реализация алгоритма трассировки лучей Предыдущая главаМы узнали всё, что нужно для перехода к практике! Теперь мы готовы написать наш первый трассировщик лучей. Вы уже должны быть в состоянии догадаться, как работает алгоритм трассировки лучей.for (int j = 0; j < imageHeight; ++j) { for (int i = 0; i < ima...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Новый драйвер AMD позволяет разгонять память на Radeon RX 7900 GRE Разгон памяти графической карты AMD Radeon RX 7900 GRE теперь поддерживается в драйверах, повышая производительность до15%

Графические процессоры Intel Arc значительно улучшили Pytorch для Llama 2 Многие считают, что PyTorch предназначен для графических процессоров NVIDIA, но на самом деле это не так. PyTorch не зависит от платформы; просто многие пакеты, созданные на PyTorch, активно используют API CUDA NVIDIA. Вы можете запустить PyTorch практически на чем угодно; о...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Nintendo Switch 2 сможет поддерживать трассировку лучей, 4K и DLSS Инсайдерская информация указывает на то, что Nintendo Switch 2 получит флэш-память V-NAND 5го поколения от Samsung с огромной скоростью передачи данных.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

NVIDIA GeForce RTX 4070 Super может получить 16 ГБ памяти и графический процессор AD103 Также инсайдеры приписывают компании планы выпустить удешевлённую RTX 4070 с более медленной памятью

NVIDIA GeForce RTX 50-серии "Blackwell" будет использовать GDDR7 со скоростью 28 Гбит/с По слухам, первые видеокарты NVIDIA GeForce RTX 50-серии "Blackwell", использующие память GDDR7, будут иметь скорость памяти 28 Гбит/с

Драйверы AMD «AMDVLK» и MESA «RADV» продолжают обеспечивать поддержку Radeon AMD обеспечивает улучшенную поддержку трассировки лучей для графических процессоров Radeon с помощью драйверов Vulkan в Linux, а MESA RADV обеспечивает поддержку запросов Mesh Shader.

Графические оболочки FFmpeg Считается, что работа в консоли эффективнее GUI по нескольким причинам. Во-первых, там быстрее набирать команды, чем двигать курсором. Во-вторых, на CPU, память и GPU не ложится лишнее бремя графической оболочки, так что любые процессы быстрее выполняются в консоли. Но е...

Запатентованная технология Microsoft может снизить расход видеопамяти на трассировку лучей Видеокарты с объёмом памяти 8 ГБ смогут долго оставаться актуальными

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Нет, Samsung не откажется от помощи AMD при создании собственного GPU. Сотрудничество компаний продолжится Возможно, недавние данные о том, что Samsung хочет отказаться от помощи AMD и создать полностью свой GPU, были несколько неверными.  Инсайдер Revegnus говорит, что корейский гигант действительно хочет создать свой графический процессор, но не без помощи AMD, а, на...

Micron Technology объявила о начале серийного производства памяти типа HBM3E HBM3E (High Bandwidth Memory 3E) будет использоваться в графических процессорах NVIDIA H200 Tensor Core

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Это полная победа Nvidia? Компания празднует 500 игр и приложений с трассировкой лучей и DLSS, вышедших с 2018 года Компания Nvidia празднует довольно важное событие: на рынок вышло более 500 игр и приложений с трассировкой лучей, поддержкой DLSS и технологиями на базе ИИ.  Nvidia вспоминает, что 20 августа 2018 года компания представила первую в мире видеокарту с аппаратной по...

Уязвимость в графических процессорах Apple, AMD и Qualcomm подвергает риску разработчиков ИИ Эксперты по кибербезопасности компании Trail of Bits обнаружили уязвимость LeftoverLocals, которая затрагивает графические процессоры AMD, Apple и Qualcomm, позволяя злоумышленникам перехватывать данные из памяти при взаимодействии жертвы и запущенной локально модели ИИ.

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

В будущем быстрые SSD могут использоваться для снижения нагрузки на память от трассировки лучей Один из методов предполагает возможность выгрузки данных из оперативной и видеопамяти на накопители.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Ютубер показал Cyberpunk 2077 в 8K с фотореалистичными модами и трассировкой лучей Сколько конкретно использовано модов, неизвестно.

Microsoft хочет оптимизировать трассировку лучей Трассировка лучей по-прежнему является самой ресурсоёмкой задачей в современных играх. Эти задачи настолько тяжёлые, что в большинстве случаев игры становятся настолько медленными, что приходится применять технологии экстраполяции и генерации кадров.

Samsung больше не хочет GPU AMD? Компания намерена создать собственное графическое ядро и отказаться от решений AMD Компания Samsung может прекратить сотрудничество с AMD в области GPU, то есть перестанет использовать соответствующие графические ядра в своих мобильных платформах.  Инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заяв...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Новейший тест 3DMark Steel Nomad заменит Time Spy. Он будет бесплатным для всех пользователей Компания UL Solutions объявила сегодня, что выпустит новый тестовый проект Steel Nomad в 3DMark и предоставит его существующим пользователям бесплатно, начиная с первого квартала 2024 года. Напомним, тест Time Spy был запущен в 2016 году. Это широко используемый эталонн...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Видеокарты AMD Radeon RX 8000 будут использовать память GDDR6 со скоростью 18 Гбит/с Учитывая имеющуюся информацию о будущих GPU, можно предположить, что более скоростные модули памяти им просто не нужны

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Смартфон iQOO 12 в черном цвете будет иметь оперативную память LPDDR5x и хранилище UFS 4.0 Смартфон iQOO 12 будет оснащен новейшим чипсетом Snapdragon 8 Gen 3 , который будет интегрирован с графическим процессором Adreno 750.

AMD готовит новые версии Instinct MI300 с памятью стандарта HBM3E Компания в скором времени может представить графические ускорители, которые не будут уступать аналогам от Nvidia

Стали известны спецификации видеопамяти GPU NVIDIA Blackwell GeForce 50 По словам популярного источника kopite7kimi на X, у графических процессоров NVIDIA Blackwell шина памяти VRAM будет иметь ширину 384 бита.

Samsung представит новую память GDDR7 Если верить инсайдерам, компания Samsung планирует представить свои самые быстрые модули памяти GDDR7 следующего поколения уже в следующем месяце — они будут поставляться с пиковой скоростью до 37 Гбит/сек для графических процессоров следующего поколения. На текущий момент S...

Это сердце нового флагмана Radeon, но карта будет среднебюджетной. GPU Navi 48 впервые засветился в Сети Компания AMD впервые засветила название нового графического процессора, на котором будут основаны видеокарты Radeon RX 8000.  Упоминание GPU Navi 48 появилось в ПО ROCm. Конечно, без всяких подробностей, но именно Navi 48 разные источники называли старшим графичес...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

Графический процессор NVIDIA R100 на архитектуре Rubin поступит в производство в конце 2025 года Подробностей о нем очень мало, предполагается, что данные ускороители будут оснащаться высокопроизводительной памятью HBM4

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

NVIDIA уже скоро представит новую видеокарту для ИИ Конференция GTC 2024 от NVIDIA должна начаться уже через несколько дней, но компания уже сейчас дала разработчикам предварительный список графических процессоров для искусственного интеллекта на базе архитектуры Blackwell нового поколения. К сожалению, хотя журналистам предс...

JEDEC объявила о принятии стандарта графической памяти GDDR7 Стандарт был официально принят ассоциацией JEDEC.

Почти все флагманы 2024 года будут построены на этой SoC. Представлена Snapdragon 8 Gen 3, первый смартфон на ее базе – Xiaomi 14 Qualcomm официально представила флагманскую однокристальную систему Snapdragon 8 Gen 3. Эта платформа будет использоваться в Xiaomi 14 и Xiaomi 14 Pro, IQOO 12, Samsung Galaxy S24 Ultra, Honor Magic6 Pro и многих других флагманах 2024 года.   Процессор SoC разделе...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

[Перевод] Выделение памяти для DMA в Linux Это перевод Поста Allocating Memory for DMA in LinuxВ этом посте мы рассмотрим распределение памяти в Linux с использованием очень больших страниц с тем, чтобы совместно использовать эту память с устройствами PCIe, использующими DMA. Читать далее

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

GeForce RTX 4090 за 2000 долларов против Radeon RX 7900 XTX менее чем за 1000 долларов. Тесты показывают ситуацию с учётом актуальных цен Из-за запрета RTX 4090 в Китае и ряде других стран, как известно, адаптер сильно подорожал и на западных рынках. Авторы Hardware Unboxed решили на этом фоне сравнить флагман Nvidia, за который сейчас просят примерно от 2000 долларов/евро с Radeon RX 7900 XTX, которая, н...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Игровой ноутбук ASUS ROG Zephyrus G14 появился в продаже Компания ASUS выпустила в американскую продажу геймерский ноутбук ROG Zephyrus G14, который был представлен в начале января на выставке CES 2024. Пока для покупки доступна конфигурация с чипом AMD Ryzen 9 8945HS, графическим адаптером NVIDIA GeForce RTX 4060 Laptop, 16 ГБ оп...

AMD выпустит графические процессоры нового поколения MI400 AI к 2025 году Обновлённый MI300 будет оснащён новой памятью HBM3e, конкурируя с Blackwell B100 от NVIDIA в 2024 году, а MI400 следующего поколения появится в 2025 году.

Nvidia, это же лучшая антиреклама RTX 4090. Компания показала результаты тестов в игре Alan Wake 2 Компания Nvidia поделилась производительностью некоторых своих видеокарт в игре Alan Wake 2. Получилось весьма странно, и это можно назвать антирекламой Nvidia.  Всё дело в том, что игра действительно крайне требовательная. В 4K при максимальных настройках качеств...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Согласно утечке GeForce RTX 4080 SUPER будет использовать графический процессор AD103 Это означает, что он будет иметь много общего с существующей GeForce RTX 4080, включая 16 ГБ видеопамяти.

api2app — быстрое создание графического интерфейса для API Хочу рассказать о своём небольшом проекте с открытым исходным кодом, который создал для своих нужд. Возможно, кому-то он тоже будет полезен или найдутся люди, желающие помочь в его развитии.При помощи api2app можно быстро создать графический интерфейс для API. Его можно испо...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Похоже, AMD снова вернёт себе звание производителя самых мощный iGPU. Тесты графического ядра в процессорах Intel нового поколения на это намекают В конце текущего года Intel выпустит процессоры Arrow Lake, которые заменят Raptor Lake в настольном и мобильном сегментах. И один такой CPU уже засветился в бенчмарке.  Пока ещё безымянный процессор появился в базе SiSoft Sandra. В данном случае есть чуть больше ...

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Обзор и тесты видеокарты Palit GeForce RTX 4070 Ti Super Jetstream OC Видеокарты с суффиксом Super были представлены на выставке CES 2024, это сразу три модели и мы сегодня протестируем Palit GeForce RTX 4070 Ti Super Jetstream OC с 16 Гбайт графической памяти. Сам производитель позиционирует ее как решение для игр в разрешении 1440p с трассир...

Таким будет сердце GeForce RTX 5090. GPU GB202 приписывают 24 576 ядер CUDA С первенцем Nvidia в рамках поколения Blackwell мы уже ознакомились. Это GPU для ускорителей для ИИ с чудовищными параметрами. Но поколение Blackwell также будет включать и игровые решения, и сегодня появились подробности о топовом GPU GB202, который послужит сердцем дл...

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

OnePlus Ace 3 получил совершенно новый цвет Mingsha Gold Глава OnePlus Ли Цзе объявил, что OnePlus Ace 3 получил совершенно новый цвет, который назвали Mingsha Gold. Я хотел бы использовать три слова, чтобы описать цвет Mingsha Gold, а именно: текстура, мастерство и эстетика. Ли Цзе Он добавил, что разработчики использовали ...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Учись, Microsoft: сборку Windows 11 «ужали» до экстремальных 100 МБ, ОС работает в многозадачном режиме Команда энтузиастов NTDEV, хорошо известная благодаря своей модификации Windows 11 под названием Tiny11, подняла свои достижения по миниатюризации ОС Microsoft на новый уровень, переведя операционную систему в текстовый режим.  В результате размер установочного об...

OnePlus Ace 3 Pro получит SoC Snapdragon 8 Gen 3 Известный своей осведомлённостью информатор Smart Pikachu поделился подробностями о смартфоне OnePlus Ace 3 Pro, который еще не был представлен официально. Итак, устройству приписывают наличие флагманского 4-нанометрового процессора Qualcomm Snapdragon 8 Gen 3 с максимальной...

NVIDIA заказала у SK Hynix и Micron крупную партию памяти HBM3e Предположительно, для специализированных графических ускорителей

DigiTimes: Процессоры Intel Lunar Lake начнут использовать встроенную память Samsung LPDDR5X Intel заключила контракт с Samsung на поставку устройств LPDDR5X, которые она будет использовать в качестве встроенной памяти для своих будущих процессоров

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Google Pixel 8 не поддерживает трассировку лучей Компания Google подтвердила информацию о том, что флагманские смартфоны Pixel 8 и 8 Pro не поддерживают аппаратное ускорение трассировки лучей. Причина в отсутствии соответствующей поддержки у фирменной однокристальной системы Tensor G3. Для сравнения, данную трассировку под...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Выбираешь CPU Intel и автоматом получаешь Samsung. Процессоры Lunar Lake-MX будут оснащаться памятью LPDDR5X производства Samsung Процессоры Intel Lunar Lake будут очередным поколением, которое будет ощутимо отличаться от предшественников. В частности, эти CPU получат собственную оперативную память, которая разместится на единой с CPU подложке. Согласно свежим данным, это будет память LPDDR5X прои...

Что умеют современные видеокарты в игре Metro Exodus (Enhanced Edition): исследование качества графики с неотключаемой трассировкой лучей с и без DLSS, обсуждение производительности В игре 40 FPS ощущаются приемлемым значением. Если рассматривать самое популярное разрешение Full HD, то для того, чтобы играть на максимальных настройках графики с RT «Нормально» и без DLSS, нужно вооружиться GeForce RTX 3060, Radeon RX 6650 XT или Intel Arc 750. В разрешен...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Да, тут 16 ГБ памяти, но это не нужно современным играм. Тесты показывают, что GeForce RTX 4070 Ti Super незначительно быстрее RTX 4070 Ti GeForce RTX 4070 Super оказалась существенно быстрее обычной RTX 4070, при этом цена осталась той же. Теперь же в Сети появились обзоры RTX 4070 Ti Super, у которой кроме большего (в сравнении с RTX 4070 Ti) количества ядер CUDA есть ещё и больше памяти с более широкой ...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

NVIDIA представила новый графический процессор RTX 2000 Ada Generation Этот графический процессор обеспечивает производительность в 1,5 раза выше, чем предыдущее поколение, и имеет 16 ГБ памяти

DRAM кэш для GPU повышает производительность до 12,5 раз по сравнению с HBM Кэш-память DRAM для графических процессоров повышает производительность до 12,5 раз при значительном снижении энергопотребления по сравнению с HBM

Вышел новый мини-ПК Kingnovy FA780 с APU Ryzen 7 7840S и 64 ГБ оперативной памяти Установленный в него Radeon 780M, сравним с мобильным графическим процессором NVIDIA GTX 1650.

Графический процессор NVIDIA B100 может получить многокристальную компоновку и 192 ГБ памяти Так это или нет, станет известно уже завтра.

NVIDIA и AMD активно работают над графическими процессорами с новым типом видеопамяти GDDR7 Будут использованы кристаллы GDDR7 первого поколения емкостью 16 Гбит, объемом 2 ГБ и скоростью 32 Гбит/с.

Sony представила компактную версию PlayStation 5 Компания Sony анонсировала новую модель PlayStation 5 в более компактном корпусе. По сравнению с текущими моделями, новая версия PS5 уменьшилась в объёме на 30%, а вес стал меньше на 18% и 24% для PS5 и PS5 Ditital Edition соответственно. Также игровая консоль теперь оснаща...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Будущий Dimensity 9400 от MediaTek будет оснащен графическим процессором ARM Immortalis-G920 Первоначальные тесты предполагают небольшой прирост производительности графического процессора, но при этом результаты Geekbench намекают на значительное улучшение вычислительной мощности.

Trail of Bits обнаружила уязвимость в графических процессорах Apple, AMD и Qualcomm Эта уязвимость позволяет злоумышленникам получить доступ к данным из памяти GPU

NVIDIA Blackwell B100 GPU выйдет по 3 нм процессу Компания NVIDIA будет применять технологию TSMC 3 нм уже со следующего года. Отмечается, что компания будет производить вычислительный графический процессор GB100 по этой технологии. При этом есть высокая вероятность, что вся серия GPU Blackwell будет использовать эту т...

Nvidia исправилась: у GeForce RTX 4070 Super 48 МБ кэш-памяти второго уровня, а не 36 МБ После анонса GeForce RTX 4070 Super Nvidia опубликовала технические характеристики модели, и в них был указан объем кэш-памяти второго уровня, равный 36 МБ – ровно как у обычной GeForce RTX 4070. Однако сама-то компания уверяла об увеличении кэша на 20%. Где правд...

JEDEC утвердила стандарт памяти GDDR7 для будущих видеокарт Новая память использует трёхуровневую импульсно-амплитудную модуляцию PAM3.

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

У графических процессоров AMD, Apple и Qualcomm обнаружили новую уязвимость Она позволяет злоумышленникам перехватывать данные из локальной памяти графических процессоров.

Samsung продемонстрирует 12-слойную память HBM3E на GTC 2024 в графическом процессоре Hopper H200 Компания Samsung, которая недавно представила свою 12-слойную память HBM3E, как ожидается, продемонстрирует ее на предстоящем мероприятии NVIDIA GTC 2024

GPU NVIDIA Blackwell имеет конструкцию чиплета с памятью HBM3e объемом до 288 ГБ Стали известны некоторые ключевые характеристики графических процессоров NVIDIA Blackwell Tensor.

[Перевод] Введение в трассировку лучей: простой метод создания 3D-изображений. Часть 2 — прямая трассировка Феномен из прошлой статьи, описанный Ибн аль-Хайсамом, объясняет, почему мы видим объекты. На основе его наблюдений можно сделать два интересных замечания: во-первых, без света мы ничего не можем видеть, а во-вторых, без объектов в нашем окружении мы не можем видеть свет. Ес...

SK Hynix заняла 35% рынка DRAM Согласно информации аналитического агентства Business Korea, доля компании SK Hynix на рынке памяти DRAM достигла 35% в третьем квартале текущего года. Графические процессоры для центров обработки данных, которые компании используют для обучения моделей искусственного интелл...

48 ГБ памяти и производительность почти на уровне RTX 4070 — это новый китайский графический ускоритель Moore Threads MTT S4000 Китайская компания Moore Threads представила новую графическую карту MTT S4000, которая оснащается огромным объёмом памяти.  Это не потребительская видеокарта, а ускоритель для ЦОД и в том числе для ИИ. В основе новинки лежит GPU с архитектурой MUSA третьего покол...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

Первый ноутбук с 24 ГБ небинарной памяти Honor MagicBook Pro 16 представлен в Китае Компания Honor представила свой ноутбук MagicBook Pro 16 с искусственным интеллектом и новыми процессорами Intel Core Ultra в Китае. Он стал первым ноутбуком, использующим небинарную оперативную память объемом 24 ГБ (LPDDR5X-6400). Впервые ноутбук показали в прошлом мес...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Gainward представила видеокарту GeForce RTX 3050 6 ГБ Pegasus Сегодня компания Gainward, довольно известный производитель видеокарт с огромным арсеналом интересных моделей, официально представила новую модель под названием GeForce RTX 3050 6 ГБ Pegasus. Данная видеокарта использует графический процессор, произведённый на архитектуре NV...

А ведь когда-то Intel делала вот такие странные процессоры с iGPU AMD и памятью HBM. Системная плата Topton N9 NAS с восемью RJ45 основана на CPU Core i7-8705G В 2018 году Intel неожиданно выпустила крайне необычные для себя процессоры линейки Kaby Lake-G, которые сочетали привычную процессорную часть и iGPU от компании AMD. Графические ядра этих процессоров были невероятно производительными, но эксперимент за рамки одной лине...

Игровой ПК Lenovo Cobalt Co-45 оценен в 560 долларов Компания Lenovo пополнила ассортимент геймерских ПК моделью Cobalt Co-45, которая оценена в Китае от 560 долларов. Новинку оснастили 6-ядерным 12-поточным процессором Intel Core i5-12400F с тактовой частотой до 4,4 ГГц, 18 МБ кэш-памяти Intel Smart Cache L3 и 7,5 МБ кэш-памя...

Exynos 2400 в играх будет быстрее Snapdragon 8 Gen 3? Топ-менеджер Samsung говорит, что GPU Xclipse 940 производительнее конкурентов Как известно, на некоторых рынках младшие модели линейки Samsung Galaxy S24 будут продаваться с SoC Exynos 2400. И Samsung утверждает, что графический процессор этой платформы мощнее, чем у решений конкурентов.  Если точнее, это заявил президент подразделения Sams...

AMD убрала ограничения на разгон графического процессора Radeon RX 7900 GRE Разгон памяти графического процессора AMD Radeon RX 7900 GRE теперь поддерживается в последних драйверах, повышение производительности достигает +15%.

Samsung Exynos 2400 представят уже сегодня, а «чип мечты» Exynos 2500 лишится GPU Radeon Samsung якобы подтвердила, что представит однокристальную систему Exynos 2400 уже сегодня, на мероприятии Tech Day 2023, о чем сообщает проверенный инсайдер Ice Universe. Ранее появилась информация, что Samsung рассматривает возможность разработки собственного уникально...

Эффект изгиба электронов может улучшить память компьютера Новый магнитный материал, разработанный физиками RIKEN, может увеличить объем памяти компьютера, обеспечивая более высокую плотность памяти и более высокую скорость записи в память. Устройства памяти, такие как жесткие диски, хранят данные, создавая различные узоры намагничи...

Это изменит способ изготовления процессоров и GPU. Hynix работает над размещением памяти HBM4 прямо на кристалле CPU/GPU Память HBM4 появится на рынке лишь через несколько лет, но уже сейчас появилась информация о том, что появление этой памяти может быть сопряжено с небольшой революцией в области полупроводникового производства. Как сообщается, компания Hynix работает с Nvidia и другими ...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Тестирование Battlefield 2042 RX 7900 XTX VS RTX 4090 3840x2160 Тестирование будет проходит в режиме мультиплеера на максимальных настройках в 3840x2160 с трассировкой лучей и без неё.

Аппараты Samsung Galaxy S24 получат спутниковую связь На смартфонах Samsung будет улучшена трассировка лучей

1024 ядра MUSA и 4 ГБ памяти за 55 долларов. Стартовали продажи бюджетной видеокарты Moore Threads MTT S30 В Китае сегодня стартовали продажи китайской видеокарты Moore Threads MTT S30. Судя по картинкам-тизерам, разработчики всерьез полагают, что ее можно использовать для игр и для работы с трехмерной графикой. Moore Threads MTT S30 оснащена GPU с 1024 ядрами MUSA частотой...

Samsung достигла капитализации в 370 миллиардов долларов В 2023 году компания Samsung пережила достаточно тяжёлый период, столкнувшись со спадом продаж смартфонов и снижением спроса на полупроводники, флэш-память и другие продукты, которые ранее приносили производителю львиную долю дохода. Но, согласно отчёту ведущих изданий мира,...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Видеокарты Radeon наконец-то научатся хорошо работать с трассировкой лучей? Ядра RT в архитектуре RDNA 4 будут совершенно новыми Видеокарты Radeon RX 8000, возможно, и не смогут предложить флагманский уровень производительности, но вот с трассировкой лучей, вполне вероятно, будут работать намного лучше текущего поколения.  Как сообщает инсайдер Kepler, архитектура RDNA 4 содержит ядра RT, к...

Sony PlayStation PS5 Pro: улучшение системной памяти и более быстрый графический процессор PS5 Pro обладает в три раза большей вычислительной мощностью, чем PS5 — 33,5 терафлопс по сравнению с 10,28 терафлопс у PS5.

Snapdragon X Elite - 12 ядер, x2 более быстрый CPU и GPU по сравнению с чипами x86, LPDDR5X и 5G Вычислительная производительность неназванного графического процессора Adreno составляет 4,6 TFLOPS. Snapdragon X Elite также получит поддержку памяти LPDDR5X

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Универсальный контроллер моторов на ARM Cortex-M85. Трассировка Новейшее семейство микроконтроллеров RA8M1 просится быть использованным в умном электроприводе. Вычислительная мощь ядра ARM Cortex-M85 (480 МГц) позволяет легко управлять на нашей плате одновременно двумя PMSM/BLDC или тремя DC коллекторными моторами с использованием алгори...

GeForce RTX 5080 сможет тягаться с RTX 4090 и даже опередить её? Как минимум GPU GB203 сможет конкурировать с AD102 После анонса монструозного GPU Nvidia поколения Blackwell для ИИ стали появляться данные об игровых решениях этой же линейки. Мы сегодня уже говорили о GPU GB202 для RTX 5090, теперь пришёл черёд более доступных решений.  Согласно данным инсайдера XpeaGPU, который...

Выпущен графический стек Mesa 24.0 Linux с улучшениями драйверов NVK и RADV Графический стек с открытым исходным кодом Mesa 24.0 был выпущен недавно в качестве крупного обновления, которое представляет новые функции и множество улучшений для нескольких графических драйверов, входящих в его состав.

Infinix Smart 8 Pro оценили в 12 тысяч рублей Компания Infinix выпустила в России доступный смартфон Smart 8 Pro, которая обойдется в 12 и 14 тысяч рублей за варианты с 4/64 ГБ и 8/128 ГБ оперативной и флеш-памяти соответственно. Новинка характеризуется 6,6-дюймовым LCD-дисплеем с разрешением 720:1612 пикселей и кадрово...

В компании AMD настоятельно рекомендуют использовать двухканальный режим при работе с Ryzen 8000 По словам представителей компании, только при таком условии можно будет раскрыть весь потенциал графических ядер новых процессоров

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

JEDEC заявила об окончании разработки стандарта GDDR7 Графические карты нового поколения могут теперь получить память с пропускной способностью до 192 ГБ/с

Поставки памяти HBM удвоятся к 2025 году Предполагаемый рост обусловлен появлением графических процессоров нового поколения с упором на искусственный интеллект

NVIDIA и SK Hynix возможно объединились для разработки GPU со стекированной памятью HBM4 Этот тип технологии стекирования является новинкой в секторе графических процессоров, но некоторые ее аспекты уже реализованы в области процессоров.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Трассировку лучей в AMD RDNA4 называют совершенно новой разработкой, а не доработкой существующей Прирост производительности может быть многократным.

NVIDIA прекращает производство видеокарт без поддержки трассировки лучей Отныне все GPU будут обладать новейшими технологиями

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

В новом патенте AMD описан потенциальный дизайн нового графического процессора на основе чиплетов Главное отличие заключается в том, что весь рендеринг будет выполняться чиплетами, а не большим вычислительным чипом, как в существующих графических процессорах.

В новом патенте AMD описан потенциальный дизайн нового графического процессора на основе чиплетов Главное отличие заключается в том, что весь рендеринг будет выполняться чиплетами, а не большим вычислительным чипом, как в существующих графических процессорах.

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Samsung Exynos 2600 получит новую графическую подсистему Если верить информации достаточно надёжного инсайдера, компания Samsung планирует полностью заменить графический процессор Xclipse, разработанный совместно с компанией AMD, на собственное графическое решение. Но, естественно, это произойдёт не сразу, потому что своё решение ...

Radeon RX 8000-й серии будут значительно быстрее предшественников в играх с трассировкой лучей Новые видеокарты от AMD удивят высокой производительностью.

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Именно такую память может получить GeForce RTX 5090. Стандарт GDDR7 официально принят Ассоциация JEDEC объявила о принятии стандарта графической памяти GDDR7.   Сам собой, это не означает, что такая память появится у потребительских устройств в самое ближайшее время, но, напомним, слухи давно приписывают её как минимум видеокарте GeForce RTX 5090.&...

Планшет Lenovo M20 5G получил 2K-экран Компания Lenovo пополнила ассортимент планшетов моделью Lenovo M20 5G, которая оценена в 340, 395 и 410 долларов за варианты с 6/128 ГБ, 8/256 ГБ и 12/512 ГБ оперативной и флеш-памяти соответственно. Новинка также характеризуется 6-нанометровой однокристальной системой ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Графический процессор Apple M4 не дает прироста по сравнению с M3 Хотя разница между процессорами может быть значительна, утверждается, что производительность графического процессора M4 и M3 одинакова.

AMD выпустила Radeon RX 7600 XT с 16 ГБ памяти по цене 329 долларов Новинка ничем не отличается по характеристикам графического процессора от модели RX 7600 кроме увеличенного объема памяти и немного повышенной тактовой частоты

Intel показала тесты нового графического ускорителя Gaudi 2, который легко обходит NVIDIA H100 Особенно разница становится заметна при масштабировании, что говорит об острой нехватке памяти у продукта NVIDIA

[Перевод] Как я портировал Sonic 2 на графический калькулятор Этот пост посвящён тому, как я портировал 8-битный Sonic 2 на TI-84+ CE Часть 1: с чего всё началось Эта история началась осенью 2022 года, когда я смотрел видео This Does Not Compute об истории игр на графических калькуляторах. Примерно на пятой минуте автор мимоходом упо...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Представлен смартфон Realme 12 5G Компания Realme пополнила ассортимент смартфонов моделью Realme 12 5G, которая оценена на дебютном индийском рынке в 205 и 217 долларов за конфигурации с 6/128 ГБ и 8/128 ГБ оперативной и флеш-памяти соответственно. Новинка также характеризуется 6-нанометровой однокрист...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Одноплатный ПК Radxa NIO 12L получил два порта HDMI Сетевые источники сообщают о выходе одноплатного ПК Radxa NIO 12L, главной особенностью которого можно назвать наличие множества разъемов. Новинка получила четыре порта USB 3.0, два интерфейса HDMI, один из которых рассчитан на вход, двумя разъемами USB-C, портом RJ45, слото...

RTX 4080 даёт всего около 60 FPS в игре Max Payne 2001 года, доработанной с трассировкой лучей Большинство старых проектов, переработанных с RTX Remix, будут играбильны лишь с 40-ой линейкой Nvidia

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Смартфон Oppo F25 Pro получил 120-Гц экран Компания Oppo выпустила на индийский рынок смартфон F25 Pro, который оценен в 290 и 315 долларов за версии с 8/128 ГБ и 8/256 ГБ оперативной и флеш-памяти соответственно. Новинка также характеризуется 6-нанометровой однокристальной системой MediaTek Dimensity 7050 с тактовой...

Представлен смартфон Vivo Y38 5G с батареей на 6000 мАч Компания Vivo пополнила ассортимент смартфонов моделью Y38 5G, которая работает под управлением операционной системы Android 14 с установленной поверх ОС программной оболочкой FunTouch OS 14. Новинку также оборудовали 6,68-дюймовым IPS-дисплеем с разрешением 1612:720 пик...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Смартфон Realme C65 5G получил SoC Dimensity 6300 Компания Realme пополнила ассортимент смартфонов моделью Realme C65 5G, которая уже продается на дебютном индийском рынке по цене в 119, 131 и 137 долларов за версии с 4/64 ГБ, 4/128 ГБ и 6/128 ГБ оперативной и флеш-памяти соответственно. Новинка характеризуется 6-наном...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Графический процессор NVIDIA GB203 Blackwell получит 256-битную шину памяти Сегодня известный инсайдер Kopite7kimi поделился новой информацией о будущих видеокартах Nvidia, касающейся ширине интерфейса памяти

AMD FSR появится в смартфонах Samsung следующего поколения Предполагается, что следующие чипсеты Samsung и Qualcomm будут использовать технологию масштабирования от AMD, что значительно повысит производительность мобильных графических ускорителей даже на устройствах среднего уровня

«Боевые маги» Intel будут конкурировать уже с GeForce RTX 50. Линейка видеокарт Battlemage всё ещё запланирована на следующий год Свежая дорожная карта Intel подтверждает неизменность планов компании относительно выпуска видеокарт Arc нового поколения.  Линейка Battlemage запланирована на следующий год. Это же компания утверждала и ранее, но у Intel сроки выхода новых продуктов нередко сдвиг...

Появились новые утечки по игровой консоли PlayStation 5 Pro По имеющимся данный, улучшенная версия будет существенно производительнее предшественницы в трассировке лучей

Свежий патч добавил в Diablo IV трассировку лучей Теперь на тени и отражения можно будет смотреть, если максимально приблизить камеру. Вопрос только: зачем?

Процессоры Arrow Lake от Intel будут основаны на новой архитектуре Xe-LPG Plus с XMX Согласно последним данным от Coelacanth-Dream, процессоры Intel Arrow Lake, ожидаемые в следующем году, будут оснащены графическим процессором Arc Xe-LPG Plus, который представляет собой модернизированную версию используемого в процессорах Meteor Lake.

Раскрыты характеристики графических процессоров Navi 48 и Navi 44 на архитектуре RDNA 4 В утечке, опубликованной инсайдером Moore’s Law Is Dead, указываются подробности о производительности, характеристиках памяти и многом другом

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Планшет Samsung Galaxy Tab A9 оценен в 190 долларов Компания Samsung пополнила ассортимент планшетов моделью Galaxy Tab A9, которая оценена в ОАЭ в 699 дирхамов или около 190 долларов. Новинка характеризуется 8,7-дюймовым IPS-экраном с разрешением 800:1340 точек, 6-нанометровой однокристальной системой MediaTek Helio G99 с та...

Как iMac, но от Samsung. Моноблок за 1200 долларов Samsung All-in-One Pro с экраном 4К поступил в продажу в Южной Корее Samsung Electronics сообщила о поступлении в продажу моноблока Samsung All-in-One Pro, оснащенного процессором Intel Core Ultra 5 125H, 16 ГБ оперативной памяти и твердотельным накопителем на 512 ГБ, который доступен в Южной Корее за 1200 долларов. Samsung All-in-One Pr...

Графические процессоры AMD Instinct MI300X используются в LLM-капсулах LaminiAI LaminiAI использует стандартные ускорители MI300 с 2023 года

Intel выпустила драйвер Intel Arc A-Series Graphics и Intel Iris Xe Graphics 31.0.101.4887 Beta Intel обновила драйвер Intel Arc A-Series Graphics и Intel Iris Xe Graphics до версии 31.0.101.4887 Beta. Список исправлений: Intel® Arc™ Graphics Products: • В Minecraft (DX12) могли наблюдаться искажения цвета в ночных сценах, когда включена настройка трассировки лучей; Сп...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Процессор PlayStation 5 Pro получит трассировку лучей архитектуры RDNA 4 В Сети появились новые сведения о процессоре, который будет установлен в консоль PlayStation 5 Pro, а также об обновлениях в подсистеме памяти.

Новая консоль PS5 Pro будет на 45% быстрее в рендеринге и в 3 раза мощнее в трассировке лучей По слухам, обновленная PlayStation 5 также сможет выводить изображение в разрешении 8K с использованием нейросетей.

[Перевод] Баг памяти Windows, которому не менее восьми лет Память — достаточно дефицитный ресурс для многих компьютеров потребительского уровня, поэтому логично создать функцию, ограничивающую объём используемой процессом памяти; и Microsoft действительно реализовала такую функцию. Однако:Компания её не задокументировала (!)Её реали...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Redmi Book 16 2024 получит CPU Intel Core 13500H Компания Xiaomi продолжает раскрывать подробности о ноутбуке Redmi Book 16 2024, официальная презентация которого состоится уже завтра, 29 ноября. Итак, грядущая новинка будет основана на 12-ядерном 16-поточном процессоре Intel Core 13500H с тактовой частотой до 4,7 ГГц, TDP...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Представлен мини-ПК Colorful CMNH01-12450 Компания Colorful, которая известна по графическим адаптерам, объявила о выпуске своего первого мини-ПК CMNH01-12450. Новинка характеризуется 8-ядерным 12-поточным процессором Intel Core i7-12450H с максимальной частотой до 4,4 ГГц (вскоре будут доступны конфигурации на Core...

Для производства GeForce RTX 4070 используют не только графический процессор AD104, но и AD103 Для этого потребовалось отключить около 42...43% вычислительных блоков.

Такую память может получить GeForce RTX 5090. Samsung готовится представить GDDR7 с частотой 37 ГГц Похоже, с типом памяти для будущих флагманских видеокарт уже всё ясно. Samsung представит память GDDR7 уже через месяц.  Как стало известно, корейский гигант анонсирует новую графическую память на выставке ISSCC 2024, которая пройдёт с 18 по 22 февраля.  Как ...

Видеокарта NVIDIA RTX 5090 выйдет уже в конце 2024 года По сообщениям официальных представителей компаний, сотрудничающих с NVIDIA, предполагаемое устройство будет использовать память GDDR6

Ноутбук дороже, чем Apple Vision Pro: новинка Acer получила Intel Core i9-14900HX и Nvidia Geforce RTX 4090 Laptop за $3600 Компания Acer представила игровой ноутбук Predator Tomahawk, который уже официально поступил в продажу в Китае. Ноутбук оснащен процессором Intel Core i9-14900HX с 24 ядрами и 32 потоками и частотой до 5,84 ГГц, графическим процессором Nvidia Geforce RTX 4090 Laptop. 16...

Рендеринг трёхмерных развязок, мостов и тоннелей Привет! Меня зовут Арсений Кононов. На прошлой неделе мы зарелизили трёхмерные развязки и тоннели, которые можно увидеть в режиме навигатора. Я расскажу о простой и гибкой технике, реализованной в графической подсистеме нашего графического движка для отоб...

Представлен бюджетный планшет Lenovo Tab K11 Компания Lenovo представила планшет Tab K11, который относится к сегменту доступных устройств. Новинку оснастили 11-дюймовым IPS-дисплеем с разрешением 1920:1200 пикселей, частотой обновления 90 Гц и пиковой яркостью 400 кд/кв.м, 12-нанометровой однокристальной системой Medi...

Samsung продемонстрировала на выставке Nvidia GTC новые модули памяти GDDR7 со скоростью 32 Гбит/с На этой неделе южнокорейская компания представила свои последние разработки на конференции Nvidia по технологиям графических процессоров, намекнув на партнерство в рамках серии RTX 50.

NVIDIA выпустит урезанную версию GeForce RTX 3050 на 6ГБ памяти В ближайшие недели NVIDIA представит урезанную версию видеокарты начального уровня GeForce RTX 3050 с 6 Гбайт памяти. Помимо снижения объема видеопамяти, устройство получит менее мощный графический процессор, что делает её более бюджетной.

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

И это после шести лет развития технологий трассировки лучей? В Diablo IV эффекты на основе ray tracing попросту уничтожают производительность Около недели назад игра Diablo IV получила патч, который добавил ей эффекты на основе трассировки лучей. Оказалось, что это одна из самых требовательных реализаций трассировки на данный момент.  Если точнее, требовательны там тени на основе трассировки, тогда как о...

Отмененный флагманский графический процессор AMD RDNA 4 был в 2 раза мощнее 7900 XTX Во флагманском графическом процессоре могло быть до 20 чиплетов.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Snapdragon 8 Gen 3 слили перед анонсом: Unreal Engine 5, 8K, трассировка лучей, USB 3.1 Gen 2, подключение к внешним дисплеям До пресс-конференции Qualcomm осталось всего несколько дней, но характеристики однокристальной Snapdragon 8 Gen 3 просочились в Сеть раньше. MSPowerUser опубликовал просочившийся пресс-слайд, подчеркнув, что Snapdragon 8 Gen 3 оснащен архитектурой «1 + 5 + 2&...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Nvidia использует Samsung для создания графических процессоров с искусственным интеллектом Передовые процессы упаковки чипов необходимы для высоко востребованных графических процессоров искусственного интеллекта компании Nvidia. В связи с этим стало известно, что Samsung теперь будет производить продукцию для Nvidia.

Как выжать максимум из iGPU, доведя производительность почти до уровня GTX 1650 Laptop. Radeon 780M разогнали до 3,3 ГГц Разгон интегрированного графического ядра Radeon 780M до частоты 3,15 ГГц, о котором мы сегодня уже рассказывали, оказался не максимальным. Оверклокер SkatterBencher пошёл дальше и добился работы iGPU на частоте 3,3 ГГц, а заодно провёл тесты.  Начнём с того, что ...

Ученые создали материал, который может стать основой компьютерной памяти будущего Материал под названием "GTS467", имеющий в своем составе германий, сурьму и тербий, был встроен в прототипы PCM-памяти (память с изменением фазового состояния), которые показали высокую скорость работы и крайне малое энергопотребление.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

OnePlus Ace 3 с экраном рекордной яркости и «очень красивым цветом» представят уже завтра Глава OnePlus Ли Цзе объявил, что завтра будет официально представила OnePlus Ace 3, а также пообещал, что смартфон будет доступен в красивом цвете. Мы подготовили очень красивый цвет для OnePlus Ace 3, увидимся завтра. Ли Цзе OnePlus Ace 3 Смартфон будет оснащен мобил...

NVIDIA тестирует графические процессоры GeForce RTX 50 серии «Blackwell» мощностью от 250 до 600 Вт По данным инсайдеров Benchlife.info, NVIDIA тестирует графические процессоры GeForce RTX 50 серии "Blackwell" с разной графической мощностью (TGP) от 250 Вт до 600 Вт.

Honor Play 50 оценен в 165 долларов Компания Honor представила в Китае смартфон Honor Play 50, который оценен в 165 и 195 долларов за версии с 6/128 ГБ и 8/256 ГБ оперативной и флеш-памяти соответственно. Новинку оснастили 6-нанометровой однокристальной системой MediaTek Dimensity 6100+ с тактовой частотой до ...

Samsung Galaxy M15 5G засветился в Google Play Console В консоли Google Play обнаружилось упоминание о бюджетном смартфоне Samsung Galaxy M15 5G, который еще не был представлен официально. Сообщается, что аппарат оснастят экраном с разрешением 2400:1080 пикселей, 6-нанометровой однокристальной системой MediaTek Dimensity 6100+ ...

Компания Khadas представила свою новую графическую док-станцию в линейке Mind Компания Khadas представила свою новую графическую док-станцию в линейке Mind, которая оснащена графическим процессором NVIDIA GeForce RTX 4060 Ti

Intel подтвердила выход графических процессоров Arc Battlemage в 2024 году Об этом стало известно из интервью представителя графического подразделения Intel для PC World

Samsung разрабатывает собственный графический процессор для Exynos 2600 Компания Samsung может отказаться от графических ядер AMD для своих SoC Exynos.

Как добавить в Windows вход по графическому паролю Компьютеры с Windows 10 и Windows 11 можно разблокировать с помощью графического кода, что довольно удобно.

Геймер комично решает проблему проседания графического процессора используя блоки LEGO для поддержки GeForce RTX 4090

Представлен смартфон Vivo V40 SE Компания Vivo пополнила ассортимент смартфонов моделью V40 SE, которая появится в международной продаже. Новинку оснастили 6,67-дюймовым дисплеем AMOLED c разрешением Full HD+, кадровой частотой 120 Гц и пиковой яркостью 1800 нит, 4-нанометровой однокристальной системой Qual...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Появились первые результаты тестов видиокарт в 3DMark Steel Nomad Кроссплатформенный тест без трассировки лучей 3DMark Steel Nomad будет выпущен завтра и заменит Time Spy

AMD выпустила новый графический драйвер для Radeon BETA с добавлением поддержки Avatar Драйвер предназначен для графических процессоров Radeon 5000/6000/7000 на базе архитектуры RDNA.

AMD готовит игровую графическую архитектуру следующего поколения - GFX1200 и GFX1201 Дискретные графические процессоры AMD RDNA4 "GFX1200/GFX1201" замечены в патчах LLVM.

Biostar выпустила графическую карту Arc A750 Это модель станет второй видеокартой компании на базе графических процессоров Intel

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Reuters: Samsung может использовать технологию SK hynix для увеличения производства памяти HBM Ключевая технология, используемая для производства чипов искусственного интеллекта, является причиной того, что крупнейший в мире производитель памяти, корейская компания Samsung Electronics, отстает от конкурентов из своей страны и США

Asrock "представила" кастомную модель графического процессора семилетней давности Radeon RX 550 Спустя семь лет после своего появления Asrock снова выводит на рынок видеокарту с графическим процессором Polaris - RX 550 в низкопрофильном формате. Рынок новой кастомной модели скорее всего будет довольно небольшим.

Xiaomi готовит мощный игровой ноутбук с 24-ядерным процессором Intel Core i9-14900HX В базе Geekbench опубликованы результаты теста нового игрового ноутбука Xiaomi (он засветился под кодовым обозначением TM2211). Его особенность состоит в использовании мощных процессоров Intel Core 14 поколения. В топовой версии будет 24-ядерный Core i9-14900HX, в ве...

Память DRAM и GDDR6 вырастет в цене Похоже, в ближайшее время стоит ожидать повышения стоимости оперативной памяти. Согласно данным аналитиков TrendForce, уже сейчас имеет место заметное повышение цен на микросхемы памяти DRAM. На самом деле и на память NAND, но об этом мы уже недавно говорили.  соз...

Обход блокировок на OpenWRT с помощью v2rayA (xray-core) и баз GeoIP, Geosite Antifilter В данном гайде будем устанавливать пакет v2rayA на OpenWRT на примере стабильной 23.05.0. Рекомендуется роутер минимум с 128 МБ RAM (256 предпочтительно) и памятью более 16 Мб (установка занимает около 30МБ памяти)v2rayA — это простой в использовании...

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

Новые графические процессоры NVIDIA для рабочих станций начального уровня Новые игроки рынка графических решений для рабочих станций от NVIDIA - компактные, энергоэффективные и производительные RTX A400 и RTX A1000.

NVIDIA Blackwell B200 потребляет 1200 Вт энергии Графические процессоры NVIDIA Blackwell B200 используют совершенно новую архитектуру, но всё равно потребляют очень много энергии — в два раза больше, чем графические процессоры прошлого поколения. Когда глава NVIDIA, Дженсен Хуанг, объявил о Blackwell во время презентации G...

Первый смартфон, который будет воспроизводить все игры в режиме 1,5К/120 Гц. OnePlus Ace 3 выйдет 4 января Компания OnePlus Mobile готовит общественность к анонсу смартфона OnePlus Ace 3, который будет представлен 4 января следующего года. Сегодня было объявлено, что OnePlus Ace 3 позволит запускать все игры в полном разрешении 1,5К при 120 кадрах в секунду. OnePlus Ace...

Китайские компании при поддержке правительства начнут выпускать чипы памяти HBM к 2026 году Группа китайских компаний при поддержке государства разрабатывает альтернативу графическим чипам Nvidia для применений в ИИ.

6000 мА·ч, IP64 и без AMOLED при цене всего 220 долларов. Представлен смартфон Vivo Y200i Компания Vivo представила бюджетный смартфон Y200i с большим аккумулятором.   Новинка оценена всего в 220 долларов, но при этом предлагает батарею ёмкостью 6000 мА·ч. Такое сочетание встречается на рынке нечасто.  Кроме того, тут ещё и 44-ваттная заряд...

Red Magic 9 Pro с процессором Snapdragon 8 Gen3 появился на AnTuTu, набрав более 2млн.баллов Он набрал 516 397 баллов за процессор, 904 492 балла за графический процессор, 457 734 балла за память и 412 150 баллов за UX.

Компания Intel выпустила новые графические драйверы Arc GPU 101.5333 WHQL Драйверы включают исправления некоторых проблем, но также могут вызывать новые проблемы с графическими процессорами Arc серии A

Intel Arc A580 смотрится слабовато для цены 180 долларов Графическая карта Arc A580 от Intel наконец-то появилась, но она не способна конкурировать с одними из лучших графических процессоров на сегодняшний день.

Запущены новые графические драйверы Intel Arc с поддержкой процессоров Core Ultra Разработчики Intel продолжают удивлять чудесами оптимизации с выпуском каждого графического драйвера.

Смартфон Realme V50 оценен в 165 долларов Компания Realme пополнила ассортимент смартфонов моделью Realme V50, которая оценена в Китае в 165 и 195 долларов за версии с 6/128 ГБ и 8/256 ГБ оперативной и флеш-памяти соответственно. Новинку оснастили 6,72-дюймовым экраном с разрешением 2400:1080 пикселей, кадровой част...

Samsung Galaxy C55 показали на рендере В базе Google Play Console обнаружилось изображение и некоторые характеристики смартфона Samsung Galaxy C55, который еще не был представлен официально. Аппарат получит привычный для смартфонов бренда дизайн с тройной тыльной камерой, расположенной в отдельных модулях. Что до...

Intel показала что-то совсем новое. Процессоры Meteor Lake могут воспроизводить видео в Full HD без использования основного кластера CPU или GPU Даже в 2025 году у процессоров Intel будет лишь восемь больших ядер. Зато обновлённые Arrow Lake получат до 32 малых ядер Компания Intel продолжает подогревать интерес к своим грядущим процессорам Meteor Lake, которые выйдут в декабре. В свежем видео Intel показала, ка...

Полезные модули PowerShell. Переводчик в консоли, SpeedTest, Syslog и другие Всем привет! Порой, написать графическую форму для консольного приложения может оказаться очень удобным решением, тем самым не нужно запоминать все ключи программы, особенно, если пользоваться им приходится изредка, тем самым автоматизируя работу с данным приложением в дальн...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Разработчики графического редактора Figma выпустили клавиатуру для быстрых действий Разработчики компании Figma, известной своим графическим редактором, представили микроклавиатуру Creator Micro для настройки функциональности приложения.

Графические процессоры RX 8700 XT/8600 XT на базе AMD RDNA 4 получают первые исправления на LLVM Идентификаторы графических вычислений (GC) двух новых устройств появились в последних патчах для компилятора LLVM.

Запускаем Matlab внутри Docker-контейнера с поддержкой GUI в ОС GNU/Linuxх Возникла задача запускать графические приложения в полностью изолированной среде: как от Интернета, так и от файловой системы «хозяйской» ОС. В моём случае это был Matlab. Пишут, что в последних версиях он стал шибко «умным»: сам без спроса постоянно лезет в сеть и чем-то та...

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Apple может изменить тип флеш-памяти в iPhone 16 По данным ресурса DigiTimes, который ссылается на отраслевые источники, компания Apple собирается использовать в iPhone 16 четырехуровневую флэш-память NAND, имеющую более высокую плотность, но при этом потенциально более медленную.Читать дальше... ProstoMAC.com.| Постоянна...

Samsung представила NAND-память 9-го поколения Сегодня компания Samsung официально объявила о запуске массового производства новых чипов памяти NAND 9-го поколения. Главное преимущество этого решения в том, что новые чипы памяти имеют на 50% большую плотность, чем чипы памяти 8-го поколения. Кроме того, чипы памяти 9-го ...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

AMD добавила новую метрику «Системная задержка» в предварительную версию графического драйвера Задержка между моментом генерации кадра в игре и завершением рендеринга графическим процессором.

Твердотельные SATA-накопители Adata Ultimate SU630 480 ГБ и Patriot P220 512 ГБ: бюджетный контроллер Maxio MAS1102 с разной QLC-памятью QLC-память почти полностью поглотила бюджетный сегмент SATA-накопителей, и вариантов у покупателя немного. Вообще не связываться с SATA-моделями — идеальный выход для более-менее нового компьютера, в котором можно использовать NVMe-накопители. Можно выбрать одну из немногих ...

Samsung Galaxy M55 получит 120-Гц экран OLED Профильное издание Sammobile раскрыло основные характеристики смартфона Samsung Galaxy M55, который еще не был представлен официально. Итак, аппарат оснастят 4-нм 8-ядерной платформой Qualcomm Snapdragon 7 Gen 1 c тактовой частотой до 2,4 ГГц и графическим адаптером Adreno 6...

Специальное термопокрытие Adata позволяет заметно снизить температуру быстрой памяти DDR5 Компания Adata придумала, как дополнительно охладить современную довольно горячую память DDR5. Производитель создал некое термопокрытие, которое позволяет заметно снизить температуру. Технических подробностей нет, но, судя по всему, речь о каком-то веществе, которым по...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Dragon’s Dogma 2 выйдет 22 марта - официальные системные требования для ПК В ролевой игре Dragon’s Dogma 2 будет поддержка трассировки лучей. В США игра появится на день раньше. Русский язык есть в списке поддерживаемых.

С режимом Ultra-Boost старые игры будут улучшены на PS5 Pro Ultra-Boost обеспечивает уникальное сочетание высокого разрешения и плавной графики, поддерживая разрешение 4K при частоте 60 кадров в секунду и трассировку лучей.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Серия AMD Radeon RX 8000 будет поддерживать только более медленную память GDDR6 18 Гбит/с Грядущие видеокарты AMD с архитектурой RDNA 4 вряд ли будут обладать высокой производительностью. В настоящее время ходят слухи, что эти новые карты могут оснащаться только памятью GDDR6.

Qualcomm Snapdragon X Elite протестировали в играх Достаточно ожидаемая система на кристалле Snapdragon X Elite, которую компания Qualcomm анонсировала некоторое время назад, была протестирована в новой видеоигре Baldur's Gate 3 — ноутбук на базе этого чипа работал со скоростью 30 FPS, что достаточно неплохо для мобильного ч...

В России представлен Tecno Megabook T16: ноутбук позволяет локально генерировать изображения за секунды Tecno представилf новую флагманскую линейку ноутбуков с процессорами Intel Core Ultra – Tecno Megabook T16, в которую вошли модели Megabook T16 Pro и Megabook T16. В ближайшее время в России начнутся продажи Megabook T16. «Сегодня мы рады представить Megaboo...

TECNO POVA 6 Neo получит 8 ГБ ОЗУ В базе данных консоли Google Play обнаружились сведения о смартфоне TECNO POVA 6 Neo, который еще не был представлен официально. Источник подтверждает наличие плоского экрана с разрешением 2460:1080 пикселей (плотность 480 PPI), 6-нанометровой однокристальной системы MediaTe...

[Перевод] От идеи до реализации: создание игры с помощью нейросетей В эпоху быстро развивающихся технологий и искусственного интеллекта мы стоим на пороге новой эры творчества. Инструменты, такие как Midjourney, DALL•E 3 и GPT-4, предоставляют уникальные возможности для творцов различных направлений. Было решено исследовать этот потенциал, с...

Энтузиасты выпаяли родную память GeForce RTX 4070 Ti Super, чтобы припаять более быструю. Дополнительный разгон позволил обойти RTX 4080 Известные бразильские моддеры с каналов TecLab и Paulo Gomes решили посоревноваться в разгоне памяти у видеокарты GeForce RTX 4070 Ti Super. Правда, не в обычном — команды физически выпаивали родные микросхемы памяти и устанавливали более высокочастотные.  К...

Мини-ПК ASUS ROG NUC оценили в 2500 евро Один из европейских ритейлеров назвал стоимость нового поколения игрового мини-ПК ASUS ROG NUC, представленного пару месяцев назад на выставке CES 2024. Итак, конфигурация с 16-ядерным 22-поточным процессором Intel Core Ultra 9 185H, графическим адаптером NVIDIA GeForce RTX ...

Установить более быструю ОЗУ, и искусственный интеллект заработает быстрее. APU Ryzen 8000G получают прирост от быстрой памяти и в этом направлении AMD уже отмечала, что для ускорения работы процессоров Ryzen 8000G нужно использовать быструю память DDR5. Оказалось, что благодаря быстрой ОЗУ повысится производительность далеко не только iGPU.  Тесты показали, что замена памяти DDR5-4800 на DDR5-7600 приводит к...

Бразильские моддеры выпаяли родную память GeForce RTX 4070 Ti Super, чтобы припаять более быструю Команда Paulo Gomes использовала адаптер Manli и после замены разогнала память до 24 ГГц, а команде TecLab удалось достичь отметки в 26 ГГц, используя карту Galax.

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Представлен игровой ноутбук Gigabyte Aorus 17 2024 Компания Gigabyte Technology представила ноутбуки Gigabyte Aorus 17 2024 и Aorus 15 2024, которые отличаются лишь диагональю экранов – 17 и 15 дюймов соответственно. Новинки оснастили панелями с разрешением QHD, кадровой частотой 240 Гц и технологией Dolby Vision, новыми пр...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Представлен мини-ПК AtomMan HN149 с Core i9-14900HX Компания Minisforum пополнила ассортимент компактных ПК моделью AtomMan HN149, которая может похвастаться нестандартно тонким корпусом. Новинка характеризуется размерами 396,5:236,4:32,5 мм, 24-ядерным процессором intel Core i9-14900HX с максимальной частотой 5,8 ГГц, дискре...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Intel выпустила драйвер Intel Arc A-Series Graphics и Intel Iris Xe Graphics 31.0.101.4885 Intel обновила драйвер Intel Arc A-Series Graphics и Intel Iris Xe Graphics до версии 31.0.101.4885. Список исправлений: Intel® Arc™ Graphics Products: • В EA Sports FC 24 (DX12) мог произойти сбой приложения во время игрового процесса; • В UNCHARTED: Legacy of Thieves Colle...

«8 ГБ ОЗУ на MacBook Pro M3, вероятно, аналогичны 16 ГБ в других системах». Apple считает, что малый объём ОЗУ в базовом MacBook Pro не является проблемой Несмотря на то, что новые MacBook получили платформу M3, которая намного производительнее M2, базовые версии всё равно оснащаются всего лишь 8 ГБ оперативной памяти. И пока многие ругают Apple за жадность по этому поводу, сама Apple говорит, что это не является проблемо...

NVIDIA готовит GeForce RTX 3050 на 6 ГБ Сегодня появилась информация о том, что видеокарта NVIDIA GeForce RTX 3050 на 6 ГБ будет запущена в начале 2024 года с урезанными характеристиками по сравнению с моделью на 8 ГБ. Но компании-партнёры старую версию на 8 ГБ, которая мощнее, больше выпускать не будут, так что н...

Модули памяти Afox UDIMM DDR5-5600 емкостью 32 ГБ: простой и недорогой комплект на чипах Micron для использования в режиме по умолчанию Сильным местом рассмотренного набора модулей Afox является удачно выбранный режим работы по умолчанию: настраивать ничего не требуется. Но и настраивать тут практически нечего, так что компьютерным энтузиастам такая память не подойдет. А просто для сборки недорогой, но произ...

Все новые видеокарты RX 8000 получат старую память GDDR6 со скоростью 18 Гбит/с Благодаря памяти GDDR6 видеокарты RX 8000 будут существенно дешевле.

Опечатки, нулевые указатели и коварный таб: 33 фрагмента в библиотеке GTK GTK – популярный фреймворк с открытым исходным кодом для создания графических интерфейсов, который интересно проверять с помощью анализатора PVS-Studio. Тем более, что предыдущую проверку мы делали около 3 лет назад, а значит, наверняка найдём в нём новые ошибки. Очень не х...

Intel Lunar Lake-MX получат встроенную оперативную память Инсайдеры предполагают, что следующее поколение процессоров Intel Lunar Lake-MX будет оснащено оперативной памятью LPDDR5X, расположенной на одном чипе. Данная информация поступила от информационного издания DigiTimes, которое ссылается на анонимные источники из Китая. И, ес...

Видеоускоритель Nvidia GeForce RTX 4070 Super (12 ГБ): теория и архитектура, описание карты Palit, синтетические и игровые тесты, выводы Производительность Nvidia GeForce RTX 4070 Super (12 ГБ) находится между GeForce RTX 4070 и GeForce RTX 4070 Ti, ближе к последнему. Он призван заполнить пробел между этими картами, потому что разница в их производительности была весьма существенной, и это выглядело очень ст...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Infinix GT 20 Pro засветился в бенчмарке В базе данных популярного бенчмарка Geekbench обнаружились результаты тестирования смартфона Infinix GT 20 Pro, который еще не был представлен официально. Бенчмарк подтвердил наличие 4-нанометровой однокристальной системы MediaTek Dimensity 8200 с тактовой частотой до 3,1 ГГ...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Представлена видеокарта ASRock Radeon RX 550 Low Profile 4GB Графический адаптер AMD Radeon RX 550В был представлен еще весной 207 года, однако это не помешало компании ASRock выпустить модель Radeon RX 550 Low Profile 4GB. Этот низкопрофильный ускоритель, занимающий в компьютерном корпусе два слота расширения, характеризуется GPU Pol...

Samsung предложит память HBM3E объёмом 36 Гбайт на стек уже в этом полугодии Микросхемы памяти будут иметь 12-ярусную компоновку.

Спецификации памяти LPDDR6 будут представлены в третьем квартале Компании Samsung и SK Hynix станут первыми, кто выпустит такую память на рынок

Купить видеокарту за 1070 долларов, и обрести покой. Представлена четырехслотовая XFX RX 7900 XTX 24GB Phoenix Nirvana Состоялась премьера по-настоящему большой видеокарты XFX RX 7900 XTX 24GB Phoenix Nirvana. Новинку оценили в эквивалент 1070 долларов. А выделяется она монструозной системой охлаждения Fenglin Cooling Architecture 4.0 с испарительной камерой площадью 62 586 мм2, тр...

Совершенно новый тип памяти, который может появиться на видеокартах? Hynix работает над памятью, которая будет быстрой, но не такой дорогой, как HBM Компания Hynix работает над новым типом памяти, который можно будет использовать для видеокарт и мобильных устройств.  Названия у памяти пока нет, но можно сказать, что это нечто среднее между HBM и DRAM, хотя первая фактически является своеобразным вариантом втор...

Представлены окончательные изображения OnePlus 12 с 24 ГБ оперативной памяти LPDDR5X Компания также подтвердила, что смартфон будет с оперативной памятью LPDDR5X объемом 24 ГБ и внутренней памятью UFS4.0 объемом 1 ТБ.

Lenovo представляет первый в мире ноутбук с модулями памяти LPCAMM2 DDR5X Будучи пионером в этой области, Lenovo подчеркивает, что она сотрудничала с Micron в разработке этого стандарта, который обещает революционизировать память для ноутбуков.

Представлен ноутбук Lenovo Yoga Pro 16s 2024 Extreme Edition Компания Lenovo выпустила в Китае ноутбук Yoga Pro 16s 2024 Extreme Edition, который может похвастаться мощной начинкой. Новинку оснастили 16-ядерными процессорами Intel Core Ultra 7 155H и Ultra 9 185H, 16 ГБ, 32 ГБ или 64 ГБ оперативной памяти LPDDR5Х-7467, графическими ад...

AMD готовит новую видеокарту для профессионалов. Radeon PRO W7700 получит 16 ГБ памяти Кроме монструозных процессоров Ryzen Threadripper 7000 компания AMD представила также профессиональную видеокарту Radeon PRO W7700.  Точнее, компания упомянула такую модель, а полноценный анонс состоится когда-то позже. Зато уже сейчас известно, что новинка получи...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Star Wars Outlaws, Black Myth: Wukong и NARAKA: Bladepoint получат трассировку лучей и DLSS 3.5 Новые игры, представленные на GDC 2024, такие как Black Myth: Wukong и NARAKA: Bladepoint, получат полную трассировку лучей и NVIDIA DLSS 3.5.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

TECNO POVA 6 Pro протестировали в бенчмарке В базе данных популярного бенчмарка Geekbench обнаружились результаты тестирования смартфона TECNO POVA 6 Pro, который еще не был представлен официально. Устройство подтвердило наличие 8-ядерной однокристальной системы с тактовой частотой до 2,4 ГГц (предполагается, что это ...

Как работает управление памятью в Rust без сборщика мусора Rust сочетает в себе высокую производительность с безопасностью памяти. Одной из его особенностей является отсутствие сборщика мусора традиционного инструмента для автоматического управления памятью во многих ЯПах. Вместо этого, Rust использует уникальную систему владения и ...

Версия Minecraft для Playstation 5 вскоре получит обновление Вслед за обновлением версии для серии Xbox вскоре может быть обновлена и версия для PlayStation 5 — с поддержкой разрешения 4K, трассировки лучей и 120 кадров в секунду

Star Wars Outlaws, одна из самых ожидаемых игр этого года, будет поддерживать технологии NVIDIA В игру будет интегрировано прямое освещение NVIDIA RTX Direct Illumination (RTXDI) в сочетании с глобальным освещением с трассировкой лучей (RTGI)

Бюджетный смартфон Moto G04 показали на рендерах Профильное издание MySmartPrice опубликовало качественные изображения бюджетного смартфона Motorola Moto G04, который еще не был представлен официально. Отметим темно-синюю, зеленую, черную и оранжевую расцветки, одинарную основную камеру разрешением 16 Мп, светодиодную вспы...

Адаптер SXM-to-PCIe за $16 позволяет установить процессоры NVIDIA H100 на обычные ПК SXM-to-PCIe позволяет использовать мощные графические процессоры NVIDIA H100 на обычных материнских платах.

MediaTek вновь превзошла Qualcomm. Представлена топовая SoC Dimensity 9300 Plus с мощным CPU и улучшенной трассировкой лучей MediaTek сегодня официально представила флагманскую однокристальную систему Dimensity 9300 Plus. Разработчики говорят, что эта SoC оснащена «лучшим в классе движком генеративного ИИ»: он поддерживает такие LLM, как Alibaba Cloud Qwen, Baichuan AI, ERNIE-3.5-...

Intel Core i9-11900K с DDR4 установил новый мировой рекорд, обогнав Core i9-14900KS с памятью DDR5 Этот результат был достигнут благодаря разгону процессора до частоты 6,957 ГГц и памяти DDR4-3914 с таймингами CL12-11-11-18-1T.

Графические процессоры Intel Arc "Battlemage" планируется выпустить в 2024 году Компания Intel в презентации для своих торговых партнеров подтвердила, что собирается выпустить линейку дискретных графических процессоров Arc Xe²

Dell: Графический процессор NVIDIA B100 "Blackwell" не требует жидкостного охлаждения B100 - это новое поколение графического процессора с искусственным интеллектом, разрабатываемое NVIDIA для дополнительных карт PCIe и сокета SXM

Графический процессор Intel BGM-G21 появился в патчах LLVM Данный GPU станет потенциально первым графическим процессором на новой архитектуре Battlemage

Intel выпустила графические драйверы Arc GPU Graphics 101.5234 WHQL Компания Intel выпустила новую версию графических драйверов Arc GPU Graphics Drivers с поддержкой игр и улучшениями производительности

Cогласно утечке тестов iGPU Intel Battlemage более чем в 2 раза быстрее, чем Alchemist Опубликованы первые графические тесты графической архитектуры следующего поколения Intel Battlemage.

Утечка списка спецификаций Snapdragon 8 Gen 3 раскрывает характеристики процессора Galaxy S24 Новый графический процессор Adreno 750 на 50 % лучше, чем его предшественник Adreno 740, используемый в S23

Представлен компактный ПК Zotac ZBOX MAGNUS ONE Компания Zotac пополнила ассортимент десктопных ПК моделью ZBOX MAGNUS ONE, которая может похвастаться компактным корпусом объемом 8,3 л. Новинка характеризуется 20-ядерным процессором Intel Core i7-14700 с тактовой частотой до 5,6 ГГц, графическим адаптером Zotac GAMING GeF...

Графические процессоры Intel BMG-G21 и G10 Battlemage замечены в отгрузочных декларациях Новая информация свидетельствует о возможном скором анонсе графических процессоров Intel следующего поколения

Представлен мини-ПК Minisforum UM690S Компания Minisforum пополнила ассортимент компактных компьютеров моделью UM690S, которая оценена в 350 долларов за Barebone-комплект. Новинку оснастили 8-ядерным 16-поточным процессором AMD Ryzen 9 6900HX c тактовой частотой до 4,9 ГГц, встроенным графическим адаптером AMD R...

OpenAI вводит голосовые и графические подсказки в ChatGPT Внедрение голосовых и графических функций ChatGPT вызвало неоднозначную реакцию в Интернете.

Графические процессоры AMD на архитектуре RDNA4 GFX1200/GFX1201 обнаружены в патчах LLVM AMD готовит игровую графическую архитектуру следующего поколения, известную как GFX12 или RDNA4

Анонсирована рабочая станция GPTshop AI с процессором и графическим процессором Grace Hopper Nvidia Первая конфигурация, доступная для покупки, включает 72-ядерный процессор Grace GH200 и графический процессор H100 Tensor Core.

Такой будет основа для GeForce RTX 5090. GPU GB202 получит почти 25 000 ядер CUDA и 512-битную шину До выхода GeForce RTX 5090 ещё очень далеко, но постепенно информации об этой видеокарте появляется всё больше. Теперь вот очень надёжный инсайдер Kopite7kimi поделился некоторыми характеристиками GPU GB202, который ляжет в основу нового флагмана Nvidia.  Судя по ...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Galax представила видеокарту RTX 4060 Ti MetalTop Classic с алюминиевым кожухом системы охлаждения RTX 4060 Ti MetalTop Classic от Galax использует мощный графический процессор и эффективную систему охлаждения с алюминиевым радиатором.

Трассировка лучей в Cyberpunk 2077: Phantom Liberty уравняла GeForce RTX 4060 Ti и Radeon RX 7900 XT Видеокарты от AMD провалились с оглушительным треском

GeForce RTX 4070 Super сравнили с Radeon RX 7800 XT Radeon RX 7800 XT потерпела поражение, уступив GeForce RTX 4070 Super не только в трассировке лучей, но и в других сценариях

Поддержку трассировки лучей обеспечивают 47% эксплуатируемых видеокарт NVIDIA RTX шагает по планете.

Интерактивный монитор XPPen Artist Pro (2-го поколения): гибрид графического планшета и дополнительного дисплея Графические планшеты — слишком консервативный рынок, чтобы на нем могло что-то измениться. Зачем и кому они нужны — понятно давно. Принципиального изменения рабочих характеристик, способного радикально изменить сценарии использования, не будет. Производители всё равно способ...

Представлен ноутбук LenovoThinkPad T14p AI 2024 Компания Lenovo пополнила ассортимент ноутбуков моделью ThinkPad T14p AI 2024, которая основана на чипах Intel Core Ultra 5 125H, Ultra 7 155H и Ultra 9 185H. Новинка также характеризуется опциональным графическим адаптером NVIDIA RTX 4050, до 32 ГБ оперативной памяти DDR5 5...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Samsung Galaxy C55 протестировали в бенчмарке В базе данных популярного бенчмарка Geekbench обнаружились результаты тестирования смартфона Samsung Galaxy C55, который еще не был представлен официально. Бенчмарк подтвердил наличие 4-нанометровой однокристальной системы Qualcomm Snapdragon 7 Gen 1 c тактовой частотой до 2...

Samsung Galaxy M55 получит SoC Snapdragon 7 Gen 1 В Google Play Console обнаружились подробности о смартфоне Samsung Galaxy M55 5G, который еще не был представлен официально. База данных подтвердила использование экрана с разрешением 2400:1080 пикселей и однокристальной системы Qualcomm Snapdragon 7 Gen 1 с тактовой частото...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Как выбрать GPU для машинного обучения Машинное обучение позволяет компьютерам извлекать данные, находить закономерности и принимать решения на их основе в различных отраслях. Например, в медицине его используют для анализа рентгеновских снимков и МРТ. Автономные транспортные средства с их помощью строят маршруты...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

NVIDIA выпустила ролик Alan Wake 2, где показала производительность и графику игры 24 октября NVIDIA поделилась информацией о том, как будет использоваться трассировка лучей и реконструкция лучей в игре Alan Wake 2. В компании были опубликованы несколько сравнительных скриншотов, которые иллюстрируют влияние этих технологий на освещение, отражения и тени в...

Steam выпустил статистику «железа» – GeForce RTX 3060 в лидерах Steam опубликовал статистику по оборудованию и программному обеспечению за март 2024-го. Согласно последним данным, больше всего игроков на ПК используют графические процессоры NVIDIA GeForce. ЖелезоТак 78% геймеров предпочитают графические процессоры NVIDIA, за ними…

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

Apple опубликовала подробные характеристики гарнитуры Vision Pro стоимостью $3500 Страничка гарнитуры Vision Pro в официальном магазине Apple была недавно обновлена и теперь можно точно сказать, что новинка сопоставима по своей вычислительной мощности с полноценными планшетами и ноутбуками. И в этом нет ничего удивительного, ведь гарнитура работает на том...

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

14/16 дюймов, AMD Ryzen 7 8845HS, 32 ГБ ОЗУ, 1 ТБ SSD и — недорого. Ноутбуки Honor MagicBook X14 Plus и X16 Plus поступают в продажу в Китае Ноутбуки Honor MagicBook X14 Plus и X16 Plus поступают в продажу по цене от 620 долларов. Столько просят за MagicBook X14 Plus с 16 ГБ ОЗУ и 512 ГБ флеш-памяти. Старшая версия MagicBook X16 Plus с 32 ГБ ОЗУ и 1 ТБ флеш-памяти стоит 720 долларов. Ноутбуки с диагонал...

Игровой ноутбук Maibenben Typhoon X677: AMD Ryzen 7 7735H и Nvidia GeForce RTX 4060 Laptop Этот игровой портативный ПК оснащен вполне производительным процессором и младшей видеокартой текущего поколения Nvidia GeForce RTX 4060 Laptop. Объем памяти (16 ГБ) и системного накопителя (512 ГБ) может быть маловат для самых современных игр, но в целом в играх нашего тест...

Samsung Galaxy A15 засветился в бенчмарке В базе данных популярного бенчмарка Geekbench обнаружились результаты тестирования бюджетного смартфона Samsung Galaxy A15, который еще не был представлен официально. Устройство с номерным наименованием SM-A155F оснащается 6-нанометровой однокристальной системой MediaTek Hel...

Специалисты рассказали об использовании технологии трассировки лучей в GTA 6 Новые открытия от исследователей.

В первых обзорах RTX 4070 Ti SUPER быстрее RTX 3090 Ti и обходит RX 7900 XTX в трассировке лучей Хотя прирост относительно RTX 4070 Ti не такой большой.

Трассировка пути снизила частоту кадров San Andreas с 300 до 48 FPS мощность увеличена со 110 Вт до 270 Вт

Показана новая версия движка Unreal Engine 5.4 Трассировка лучей станет на 15% более производительной.

Специалисты рассказали об использовании технологии трассировки лучей в GTA 6 Новые открытия от исследователей.

В 3DMark появится новый кроссплатформенный бенчмарк без трассировки лучей Steel Nomad призван заменить Time Spy.

Ютубер показал усовершенствованную версию Assassin's Creed Mirage в 8K 60FPS с RTX Пресет трассировки лучей он создавал сам.

В PlayStation 5 Pro появится новая технология Spectral Super Resolution В PlayStation 5 Pro появится новая технология Spectral Super Resolution, производительность трассировки лучей увеличится в 4 раза по сравнению с PS5

Samsung представила видеопамять GDDR7 для видеокарт NVIDIA RTX 50-й серии На конференции NVIDIA GTC AI 2024 компания Samsung Electronics продемонстрировала чип памяти GDDR7 со скоростью 32 ГБит/с. Чип предназначен для видеокарт следующего поколения. Так некоторые модели NVIDIA GeForce RTX «Blackwell» будут иметь на борту память GDDR7. …

Мини-ПК AtomMan HX200G получил AMD Ryzen 9 7945HX Компания Minisforum пополнила ассортимент компактных ПК моделью AtomMan HX200G, предварительный анонс которого состоялся в начале года. Цена новинки будет объявлена 20 мая, когда стартуют предварительные продажи. Новинка характеризуется 16-ядерным чипом AMD Ryzen 9 7945H...

Homeworld 3 получила системные требования Компании Gearbox Publishing и Blackbird Interactive опубликовали системные требования к игре Homeworld 3, готовящейся ролевой игре семейства Homeworld. Игра будет поддерживать ряд игровых технологий от AMD и NVIDIA, наряду с HDR и трассировкой лучей, а также получит вес...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Как появился графический интерфейс пользователя: история в лицах, деталях, фактах и курсорах Графический интерфейс пользователя, каким мы его знаем сегодня, возник в результате десятилетий исследований множества инженеров и ученых. Программа Sketchpad Айвена Сазерленда, созданная в 1962 году, считается первым графическим интерфейсом пользователя.Дуглас Энгельбарт из...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

В некоторых ноутбуках видеокарта NVIDIA GeForce RTX 4050 использует интерфейс M.2 вместо MXM NVIDIA GeForce RTX 4050 для ноутбуков использует интерфейс M.2 вместо MXM и оснащается тремя интерфейсами M.2 NVMe, что позволяет добавлять дополнительные устройства хранения данных вместе с графическим процессором.

Lenovo показала Legion Y9000K с процессором Intel Core i9-14900HX и графическим процессором RTX 4090 В конструкции данного ноутбука использовано кованое углеродное волокно, позволяющее снизить вес и придать ноутбуку уникальный внешний вид.

Найдена новая уязвимость в графических процессорах нескольких крупных компаний Используя данную лазейку, злоумышленники могут получить доступ к конфиденциальным данным

Китайская компания Baidu нашла способ объединять графические ускорители от разных производителей Данная технология может позволить более эффективно использовать все имеющиеся ресурсы в обучении ИИ

Redmagic 9 Pro вышел на мировой рынок У нас есть отличные новости — смартфон Redmagic 9 Pro официально выходит на мировой рынок, что на самом деле является отличной новостью, так как это один из самых производительных гаджетов на рынке. Дело в том, что смартфон Redmagic 9 Pro поставляется с процессором Qualcomm ...

AMD публикует новые патчи для графических процессоров RDNA 4 следующего поколения в Linux Команда AMD по Linux наконец-то опубликовала дополнительные исправления для поддержки графического процессора RDNA 4 следующего поколения , поскольку компания заранее готовится к официальному выпуску.

Энтузиаст создал графический процессор с нуля всего за две недели Инженер Адам Маджмудар поделился своим невероятным достижением — созданием графического процессора (GPU) буквально с нуля, без какого-либо опыта в этой области.

Samsung будет более честно считать память в своих смартфонах Грядущее обновление One UI 6.0 имеет все шансы произвести революцию в способах расчета объема памяти в смартфонах Samsung.

Ноутбук Redmi G Pro 2024 будет поддерживать 96 ГБ ОЗУ Компания Xiaomi раскрыла новые характеристики игрового ноутбука Redmi G Pro 2024, который будет официально представлен уже 4 марта. Итак, новинку оснастят двумя слотами SO-DIMM для оперативной памяти суммарным объемом 96 ГБ, двумя слотами M2 с максимальной вместимостью тверд...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Топовый MacBook Pro теперь стоит $7200 Лучший в линейке 16-дюймовый MacBook Pro на SoC M3 Max стоит 7200 долларов США, если вы выберете все обновления. Раньше цена составляла 6499 долларов, но с поддержкой унифицированной памяти объемом 128 ГБ максимальная память теперь стоит 1000 долларов. 16-дюймовый ...

Раскрыты системные требования Homeworld 3: минимум Core i5-8600K и GTX 1060 Также подтверждена поддержка DLSS 3, FSR 2, трассировки лучей и HDR

Драйвер Vulkan на базе процессора Mesa получил поддержку трассировки лучей Производительность Quake II достигла 1 FPS

Sony просит разработчиков подготовить игры для PS5 Pro к лету Требуют поддержку трассировки лучей.

NVIDIA RTXGI SDK 2.0 должен повысить производительность и качество освещения с трассировкой лучей Для этого реализованы алгоритмы Neural Radiance Cache (NRC), Spatial Hash Radiance Case (SHaRC) и Dynamic Diffuse Global Illumination (DDGI).

Будущая Assassin's Creed Red получит трассировку лучей и упор на стелс-механики Игра выйдет на PC, PlayStation 5 и Xbox Series S|X.

Процессоры Intel Core i7-14700K и AMD Ryzen 7 7800X3D сравнили в ААА-играх Чипы сравнивались также и с включенной технологией трассировки лучей.

По прогнозам инсайдера, RTX 5080 может опередить RTX 4090 в трассировке лучей А три лучших GPU получат поддержку GDDR7.

Intel Arc A580 стоит 179,99 долларов США и в трассировке лучей обгоняет Radeon RX 6600 XT Иногда карта обходит даже RTX 3060.

Инсайдер рассказал о возможностях новой PlayStation 5 Pro Производительность трассировки на PS5 Pro, по слухам, увеличится в три раза.

Qualcomm оснастила Snapdragon 8s Gen 3 поддержкой трассировки лучей Snapdragon 8s Gen 3 поддерживает более 30 моделей встроенного искусственного интеллекта, включая Gemini Nano, Llama 2 и Baichuan-7B.

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Компания Tiny Corp предлагает системы ИИ на базе AMD и NVIDIA по цене от $15 тыс. Ранее Tiny Corp уже сотрудничала с AMD над оптимизацией программного обеспечения для искусственного интеллекта, и теперь они предлагают системы, использующие графические процессоры NVIDIA.

Realme Note 50 оценен в 6 тысяч рублей Компания Realme выпустила в российскую продажу бюджетный смартфон Note 50, который был представлен на международном рынке в начале этого года. Новинка оценена в 6 и 8 тысяч рублей за версии с 3/64 ГБ и 4/128 ГБ оперативной и флеш-памяти соответственно. Новинка характериз...

Нет, это не iPhone, хотя очень похоже. Опубликованы живые фото специальной версии Xiaomi Civi 4 Pro Живые фотографии специальной версии Xiaomi Civi 4 Pro опубликовал осведомлённый инсайдер под ником Ice Universe. Экран имеет овальный вырез под систему фронтальных камер, как у новых iPhone. Это черно-белая версия Xiaomi Civi 4 Pro, выпущенная ограниченным тиражом. Кор...

Каким будет самый ожидаемый Samsung 2024 года. Все, что известно о Galaxy А55 Серия ”A” от Samsung традиционно пользуется большой популярностью. В первую очередь из-за того, что эти смартфоны стоят относительно недорого, но предлагают близкие к флагманским возможности. На фоне существования этой линейки не удивительно, что у Samsung каждый раз возник...

NVIDIA предлагает использовать GPU GeForce RTX, если вам нужен ПК премиум-класса с ИИ Та же самая архитектура, которая позволяет графическому процессору заполнять миллионы полигонов в секунду, позволяет ускорителям искусственного интеллекта обрабатывать входные токены с высокой скоростью.

Samsung Galaxy Tab S6 Lite (2024) получит 10,4-дюймовый экран Ирландское подразделение Samsung случайно рассекретило основные характеристики планшета Galaxy Tab S6 Lite (2024), который еще не был представлен официально. Итак, аппарат получит фирменную восьмиядерную платформу Samsung Exynos 1280 с максимальной частотой 2,4 ГГц, графичес...

Худшие процессоры MediaTek, способные испортить любой смартфон Компания MediaTek уже давно перестала восприниматься как производитель плохих процессоров для смартфонов. Большинство ее чипсетов, выпущенных за последние 5 лет, почти не греется и демонстрирует отличные показатели мощности, зачастую превосходящие решения Qualcomm в своем к...

Samsung Galaxy M35 5G засветился в сети В базе данных регулятора Bluetooth SIG обнаружились сведения о смартфоне Samsung Galaxy M35 5G, который еще не был представлен официально. Агентство подтвердило наличие адаптера беспроводной связи Bluetooth 5.3. Ранее другие сертификаторы рассекретили батарею ёмкостью 6000 м...

Motorola Edge 50 Fusion протестировали в бенчмарке В базе данных популярного бенчмарка Geekbench обнаружились результаты тестирования смартфона Motorola Edge 50 Fusion, который еще не был представлен официально. Бенчмарк подтвердил наличие 4-нанометровой однокристальной системы Qualcomm Snapdragon 6 Gen 1 с тактовой частотой...

Sony просит разработчиков игр на PS5 Pro подготовить оптимизацию для трассировки лучей Компания нацелилась на повышение производительности.

Показана Cyberpunk 2077 с трассировкой путей от NVIDIA и качественным модом на динамическую погоду Скоро ожидается выход Cyberpunk 2077 Ultimate Edition

Показана The Elder Scrolls V: Skyrim в 8K-разрешении с трассировкой лучей и 2000 модами Игра выглядит лучше многих современных проектов.

Показан огромный визуальный скачок между графикой Grand Theft Auto VI и Red Dead Redemption 2 Новая GTA, вероятнее всего, получит трассировку лучей.

Microsoft запатентовала технологию, которая снижает потребление видеопамяти при трассировке лучей Если у вас в компьютере видеокарта с 8 ГБ памяти, то помощь уже в пути.

Энтузиаст показал God of War Remake на Unreal Engine 5 с трассировкой путей Вероятность появления официального ремастера крайне мала.

Techspot: видеокарты AMD RDNA 4 не догонят решения NVIDIA в трассировке лучей Неизвестно даже, удастся ли хотя бы сократить отставание

Компания AMD объявила о начале продаж десктопной видеокарты Radeon RX 7600 XT Представлен Radeon RX 7600 XT: много VRAM и трассировка лучей

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Samsung представила самую быструю оперативную память LPDDR5X с частотой 10,7 ГГц Компания Samsung объявила, что разработала первую в отрасли LPDDR5X DRAM, поддерживающую производительность до 10,7 ГБит/с. Этот тип памяти будет использоваться в компьютерах с искусственным интеллектом, автомобилях, ускорителях и серверах. ОсобенностиНовый модуль…

Ни одна GeForce не предложит 20 ГБ памяти за 700-750 долларов. Radeon RX 7900 XT официально подешевела Компания Nvidia выпустила видеокарту GeForce RTX 4070 Super, которая получилась ощутимо быстрее обычной RTX 4070, и оценила новинку в 600 долларов. Через несколько дней выйдет RTX 4070 Ti Super с ценой 800 долларов. Компании AMD за неимением новых моделей приходится отв...

Топ Необходимых Bash-Команд для Разработчика [Шпаргалка] Bash - самый распространенный командный интерпретатор в UNIX-подобных системах, предоставляет возможность выполнения практически любого действия через терминал. Не все операции можно выполнить через графический интерфейс, в то время как Bash дает возможность полного контроля...

Мало было серьёзных уязвимостей в процессорах, теперь такую обнаружили в GPU. LeftoverLocals опасна в случае обучения больших языковых моделей Несколько лет назад одной из самых активных тем в IT были многочисленные уязвимости в процессорах. Теперь такую же обнаружили в современных GPU.   Называется уязвимость LeftoverLocals (её каталожный номер — CVE-2023-4969). Она позволяет восстанавливать данны...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Раскрыты основные параметры Samsung Galaxy A55 5G В базе данных Google Play Console обнаружились сведения о смартфоне Samsung Galaxy A55 5G, который еще не был представлен официально. Итак, аппарат оснастят 6,5-дюймовым экраном с разрешением 2340:1080 пикселей (плотность 450 ppi), фирменной однокристальной системой Exynos 1...

Windows 1.0: Путешествие на 38 лет назад Сегодня 20 ноября, Windows 1.0 исполнилось 38 летПривет, Хабр! Сегодня 20 ноября 2023 года. Последняя версия Windows - Windows 11. Но вернемся на 38 лет назад - в 1985 год. Именно тогда появился наш именинник - Windows 1.0! Windows 1.0 даже не была операционной системой - он...

Intel представила ускоритель ИИ Gaudi 3 На протяжении достаточно длительного времени в сети ходили слухи о том, что компания Intel планирует создать собственное аппаратное обеспечение для работы с искусственным интеллектом. А сегодня, к счастью, компания наконец представила свой собственный ускоритель для искусств...

Seasonic советует нагревать кабель с пожароопасным разъёмом 12VHPWR... феном. Это нужно, чтобы согнуть кабель перед подключением Проблема с разъёмом питания 12VHPWR, как известно, часто связана с плохим подключением. Однако компания Seasonic рекомендует не только озаботиться правильным подключением, но и использовать при этом фен.  создано DALL-E Фен нужен не для самого подключения, а для т...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)