Социальные сети Рунета
Четверг, 16 мая 2024

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

От ноубуков до видеокарт и геймпадов: в России запустили новый отечественный бренд OSiO с собственным производством Группа компаний ICL из Казани объявила о запуске одного из крупнейших в России заводов по производству радиоэлектронной продукции и нового бренда OSiO, под котором электроника будет продаваться в розницу.  Первыми в продаже появятся OSiO FocusLine в магазинах круп...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Twitter (X) потребовал указывать источники на фоне войны Израиля и ХАМАСа Стремясь бороться с распространением дезинформации на своей платформе, компания X вносит существенные изменения в свой инструмент проверки фактов с помощью сообщества. Теперь добровольцы будут обязаны указывать источники информации в каждой заметке сообщества.

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Зачем нужны роутеры Redmi, если Xiaomi такие же дешевые? Компания теперь будет выпускать только маршрутизаторы Xiaomi Руководитель рынка интеллектуального оборудования Xiaomi China подтвердил, что будущие маршрутизаторы компании не будут носить бренд Redmi, а будут называться просто Xiaomi: «В будущем маршрутизаторов Redmi больше не будет». Последним маршрутизатором Re...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Россия готова выпускать Lada на новых мощностях в Казахстане По итогам переговоров между Россией и Казахстаном, президент РФ Владимир Путин заявил о готовности России создать новые производственные мощности для автомобилей Lada в Казахстане. Это могут быть дополнительные заводские сооружения, где начнется выпуск автомобилей от ко...

Популярные клавиатуры и мышки Microsoft всё-таки останутся на рынке, но под другим брендом В апреле компания Microsoft объявила о том, что больше не будет выпускать периферию под своим брендом, а заменит его на бренд Surface. При этом линейка продуктов также изменится. Оказалось, что для поклонников старых моделей клавиатур и мышек именно от Microsoft не всё ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Microsoft закрыла свой проект «Промышленная метавселенная» Airsim и уволила всю команду Microsoft вносит существенные изменения в свою стратегию развития, отсекая не нужное и фокусируясь на ИИ.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Пять новых телевизоров Nokia на подходе StreamView GmbH является одним из многих лицензиатов бренда Nokia. Компания продает смарт-телевизоры и приставки под брендом Nokia в Европе, на Ближнем Востоке и в Африке. Эта австрийская компания собирается обновить линейку смарт-телевизоров Nokia. NokiaMob обнаружил в...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Apple снова не может без Samsung. Компании заключили соглашение о разработке складных устройств Как сообщает ресурс DigiTimes, компании Apple и Samsung заключила соглашение о разработке складных устройств.  складной iPhone по версии DALL-E Каких-то внятных подробностей на этот счёт пока нет, но сама его суть говорит о том, что Apple действительно активно раб...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Пожароопасный разъём 12VHPWR первой версии, как оказалось, встречается даже на новых видеокартах GeForce RTX 40 Super Похоже, до сих пор на рынок выходят видеокарты Nvidia со старой версией разъёма питания 12VHPWR.   Пользователь сети X под псевдонимом @wxnod опубликовал фотографии разъёмов H+ 12VHPWR (старая версия) и H++ 12V-2X6 (новая версия). Он добавил, что некоторые видеокар...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Google перенести производство чипсетов Tensor из Кореи на Тайвань Samsung может лишиться крупного заказчика уже в будущем году, но Tensor 4 для Pixel 9 будет производиться в Корее.

«Возрождение легенды. Новое имя знакомых шин». На заводе Nokian Tyres в Ленинградской области начали выпуск шин Ikon Tyres Компания Ikon Tyres запустила в серийное производство летние шины под одноименным брендом на бывшем заводе Nokian Tyres в Ленинградской области. «Летние шины, которые поступят в розничную продажу весной 2024 года, будут первыми шинами, на которых нанесен бренд Iko...

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Toyota готовит мини-Land Cruiser и соверешенно новый внедорожник 340D Toyota начала разработку нового внедорожника для индийского рынка, который будет выпущен в начале 2026 года и станет ключевым продуктом для нового завода, о чем сообщает Reuters со ссылкой на осведомленных информаторов. Новый внедорожник C-сегмента под кодовым названием...

Новый автозавод по сборке Chery, Changan и Haval откроют в Казахстане: по полному циклу будут выпускать Tank 300 Крупный казахстанский автодилерский холдинг Astana Motors объявил о планах запустить в 2025 году мультибрендовое автосборочное предприятие. На новом заводе будет налажена сборка автомобилей трех китайских марок: Chery, Changan и Haval. Как сообщает издание Tengri Auto, ...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Аэрокосмический гигант Lockheed Martin намерен приобрести Terran Orbital Компания Lockheed Martin, один из мировых лидеров в области аэрокосмической и оборонной отрасли, выступила с предложением о покупке Terran Orbital, ведущего производителя космических аппаратов. В письме, поданном в Комиссию по ценным бумагам и биржам (SEC) 1 марта, Lock...

В России вновь официально продают моторное масло Motul, причем дистрибутор снизил цены с 1 апреля На недавно прошедшей в Москве выставке «Мотовесна-2024» был представлен стенд Motul. Как узнал корреспондент «АвтоВзгляда», моторные масла этой французской компании вновь официально продаются в России. Поставляют их из Вьетнама. Фото портала Авт...

[Перевод] Футуристический план производства стали с помощью ядерного синтеза Крупнейшая американская сталелитейная компания делает ставку на то, что ядерный синтез поможет ей избавиться от выбросов углекислого газа и обеспечить энергией один из самых энергоёмких производственных процессов в мире.В рамках первого в своём роде партнёрства между крупной...

Британская компания по производству чипов для ИИ Graphcore ищет покупателей для своих продуктов В случае провала, сама компания Graphcore может быть продана другим крупным игрокам в индустрии Искусственного интеллекта

Компания «Симпреал» организовала экскурсию на производство для студентов Орского техникума Студенты Орского технического техникума посетили производственную площадку ПАО «Симпреал» — ведущего российского производителя каолинитового керамического сырья. С лучшими учащимися компания заключит договоры целевого обучения. ПАО «Симпреал», представитель отечественн...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Apple представит новый iPad Pro уже 26 марта Недавно появилась информация о том, что компания Apple может анонсировать крупное обновление линейки iPad Pro уже 26 марта с приличным перечнем существенных изменений в конструкции. Например, есть мнение, что ОLED-дисплей станет основным нововведением данного планшета. Кроме...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Блоки ABS и ESP будут собирать из российских компонентов В блоках ABS и ESP будут использовать российские компоненты. Научно-производственное предприятие «Итэлма», которое занимает выпуском электронных блоков управления для машин, заключило соглашение с крупнейшими российскими производителями чип-резисторов и элек...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

10 миллионов флагманов в год. Xiaomi ввела в строй передовой самообучающийся завод по производству смартфонов Сегодня Xiaomi показала свой новейший флагман Xiaomi 14 Ultra и раскрыла дату его официальной премьеры. Одновременно глава бренда Лю Вейбинг (на этой позиции он сейчас заменяет Лея Цзуня) рассказал о новом заводе, на котором, следует полагать, и будет производиться Xiao...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Steam получил важный апдейт с семейным доступом Семейное совместное использование библиотеки игр в Steam появилось уже очень давно — пользователи могли делиться контентом, но при этом сервис был существенно ограничен в плане функций, что нравилось далеко не всем. Например, данная функция позволяет вам делиться своей библи...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

BMW и GM инвестировали в технологию 3D-печати с целью повышения эффективности производства Недавно GM объявила о приобретении компании TEI, одного из основных участников разработки технологии гигалитья, используемой Tesla, благодаря которой крупные структурные компоненты могут быть отлиты одним куском, а не собраны из сотен более мелких. Примерно в то же время ком...

Под брендом Nokia не выйдет ни одного нового смартфона HMD Global, компания, стоящая за производством смартфонов Nokia, объявила о значительных изменениях в своей стратегии.

Нидерландская Yandex N.V. продаёт бизнес Яндекса за 475 млрд рублей группе частных инвесторов Яндекс выпустил официальный пресс-релиз, посвящённый смене владельца. Ранее об этом стало известно из Единого государственного реестра юридических лиц (ЕГРЮЛ), а теперь компания подтвердила сведения о смене юрлица и раскрыла некоторые подробности. Сообщается, что нидерл...

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Аналог Toyota Highlander от Huawei с запасом хода 1300 км произвёл настоящий фурор: оформлено 100 000 оплаченных заказов на Aito M7 Компания Huawei объявила, что она получила 100 000 твердых заказов на свой внедорожник Aito M7, через два с половиной месяца после начала приема заказов. Aito — это новый бренд электромобилей Huawei, которые производятся силами Seres. Seres — это бренд госу...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Samsung Galaxy S25 получит существенный редизайн В течение нескольких поколений флагманских смартфонов компания Samsung совершенно не демонстрировала каких-либо существенных изменений в плане дизайна. Пользователи из года в год получали идентичное устройство, у которого слегка менялись характеристики, но далеко не всем так...

В «М.Видео» стартовали продажи ноутбуков нового российского бренда OSiO с собственным производством Команда «М.Видео-Эльдорадо» договорилась о сотрудничестве с компанией ICL и начинает продажи ноутбуков российского бренда OSiO. Устройства собираются в Татарстане.  На старте продаж в розничных магазинах и онлайн-площадках «М.Видео» и &laqu...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Poco готовит к релизу свой первый планшет Poco Pad Компания Poco является одним из брендов гиганта Xiaomi — то производитель уровня Redmi с примерно тем же набором продуктов, так как производитель обычно делает ставку на унификацию производственных процессов для снижения стоимости конечной продукции. И хотя компания Redmi уж...

Упростить работу с ИИ владельцам видеокарт Radeon. ASRock представила приложение AI QuickSet Компания ASRock решила упростить использование различных инструментов с искусственным интеллектом для владельцев видеокарт Radeon. Для этого компания выпустила приложение AI QuickSet.  ПО фактически помогает установить на ПК с Windows 11 другие приложения. В частн...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Skoda может уйти из Китая, и это грозит дефицитом автомобилей Skoda в России Ранее автомобили Skoda поставляли из Европы и производили в самой России. Но прошлый год все изменил, и сейчас авто Skoda в основном ввозят из Китая по параллельному импорту. Однако этот ручей скоро может пересохнуть: по данным Automotive News Europe, чешский бренд може...

Продукция Logitech будет производиться из переработанного пластика Компания Logitech объявила, что все ее продукты для конференц-залов будут производиться из переработанного пластика и других материалов нового поколения с низким уровнем выбросов углекислого газа

Board Channels: NVIDIA прекратила производство GPU линейки GTX 1600 Возможно, бренд GTX больше не будет использоваться для новых продуктов

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

Базовый iPhone 17 будет производиться в Индии Компания Apple начала производство iPhone в Индии еще в 2016 году, стараясь с каждым годом снижать свою зависимость от Китая.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

AMD планирует существенные изменения в наименованиях и классификации мобильных процессоров Сообщается, что они больше не будут делиться на классы по мощности.

Пора навёрстывать: Toyota возобновила производство автомобилей Компания Toyota смогла снова запустить все производственные линии на своих японских заводах, которые не работали после инцидента, случившегося 16 октября. Тогда на заводе, принадлежащем Chuo Spring, где производятся пружины подвески, произошёл взрыв. Компания Chuo Sprin...

Пора прощаться с культовым брендом Sony Xperia? Компания может отказаться от него уже в следующем году Дела на рынке смартфонов у компании Sony уже давно идут не очень хорошо, но производитель упорно гнёт свою линию. Возможно, в ближайшее время Sony всё же решиться на кардинальные изменения и откажется от бренда Xperia.  Xperia X1; фото: Sony Об этом впервые написа...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

LG подписала крупнейшее соглашение в истории о поставке аккумуляторов для Toyota Toyota подписала соглашение с LG Energy Solution, по которому компания получит литий-ионные аккумуляторные модули для использования в будущих электромобилях, собираемых в США. Как пишет carscoops, эта сделка является крупнейшим соглашением о поставке аккумуляторов для м...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

«Всех под одну гребёнку»: все модели iPhone 16 получат процессор Apple A18 Apple готовится к крупным изменениям в своей грядущей линейке iPhone 16.

OnePlus троллит Redmi Turbo 3, который должен заменить Redmi Note 11T Pro и Note 12 Turbo Сегодня новый генеральный менеджер бренда Redmi Ван Тенг объявил, что Redmi Turbo 3 выпустят на замену Redmi Note 11T Pro и Note 12 Turbo, которые, вероятно, не получат дальнейшее развитие. Ван Тэн сказал, что миссия Redmi останется неизменной в новом десятилетии, ...

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Volkswagen и Stellantis будут использовать в своих машинах новую китайскую платформу Leapmotor Stellantis, итало-американская группа производителей автомобилей, подпишет инвестиционное соглашение с Leapmotor, китайским стартапом по производству электромобилей. Это соглашение будет включать лицензирование платформы LEAP 3.0 для новых автомобилей Stellantis. Leapmo...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

ASUS готовит к релизу видеокарту GeForce RTX 4080 SUPER Noctua OC Edition Уже завтра состоится запуск видеокарт серии NVIDIA GeForce RTX 4080 SUPER, и ASUS представит свой уникальный дизайн видеокарты Noctua OC Edition, у которого есть целый перечень приятных преимуществ. Например, компания ASUS сотрудничает с Noctua для реализации наилучшего охла...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

В Россию приедут китайские процессоры Loongson 3A5000, а в Китае уже появились ПК на основе 3A6000 Компания Loongson запустила процессоры 3A6000 собственной разработки в конце лета, а теперь на рынок Китая начали поставлять готовые ПК на основе такого CPU.  Компьютер включает четырёхъядерный процессор из линейки Loongson 3A6000, 16 ГБ оперативной памяти DDR4-32...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Это новейший Tank 300 Hi4-T, и он может оказаться дешевле, чем ожидалось. Официальные фото и новые подробности Гу Юкунь (Gu Yukun), заместитель генерального директора бренда Tank, опубликовал в соцсети Weibo заметку, в которой коснулся ряда проблем, с которыми компании приходится сталкиваться при запуске в производство гибридного внедорожника Tank 300 Hi4-T. Свои слова он сопров...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

GeForce RTX 4060 Ti, в которую самостоятельно можно установить 2-4 ТБ памяти. Asus выпустит модель со слотом для SSD Компания Asus собирается выпустить видеокарту GeForce RTX 4060 Ti, оснащённую слотом для установки SSD формата M.2.   Такое решение мы видели летом, но теперь это будет серийный продукт. Напомним, RTX 4060 Ti использует только восемь линий интерфейса PCIe x16, то ...

Microsoft заключил рекордную сделку по покупке чистой энергии Microsoft и канадская компания Brookfield Asset Management заключили соглашение о разработке возобновляемых источников энергии. Это станет одной из крупнейших корпоративных сделок по покупке чистой энергии.

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

В России появился новый автомобильный бренд Входящий в состав «АвтоВАЗа» «Автозавод Санкт-Петербург», где ранее производились автомобили Nissan, теперь будет выпускать автомобили под новым брендом XCITE

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Jaguar Land Rover и Chery открещиваются от совместной разработки машин Jaguar Land Rover официально прокомментировала информацию о том, что Jaguar Land Rover сотрудничает с Chery над созданием новых автомобилей класса люкс. «Эта новость ложная», — заявили в Jaguar Land Rover. Кроме того, представитель Chery Group Цзинь Иб...

Непрекращающиеся AB-тесты: как делать продукт лучше и знать об этом Основное предназначение A/B тестов — оценить эффективность вносимых изменений и, в случае увеличения целевых метрик, зафиксировать эти изменения, а в случае снижения — откатить. Как правило, один из критериев хорошего дизайна A/B-теста — это конкретное и реалистичное с практ...

Toyota вложит $1,4 млрд в своё производство электромобилей в США Японская компания Toyota вложит $1,4 млрд в строительство новой инфраструктуры и запуск новых производственных мощностей на своём втором заводе в США.

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Ждали Skoda, а получили Cupra. Бренд, принадлежащий Volkswagen, официально выйдет в США Бренд Cupra (SEAT Cupra), созданный в 2018 году, намерен выйти на рынок Северной Америки к концу десятилетия. Ранее ожидалось, что Volkswagen запустит в США бренд Skoda, однако вместо этого компания приняли решение выпустить Cupra. Планируется представить полностью элек...

Это видеокарта китайской разработки уровня GTX 1050 и с поддержкой AMD FSR. Анонсирована 30-ваттная Gitstar JH920 Китайская компания Gitstar анонсировала свою собственную видеокарту JH920, которая, по обещаниям производителя, должна быть быстрее, чем GeForce GTX 1050.  Да, это не бог весть какой уровень, но всё-таки речь о полностью китайской разработке. К тому же TDP тут сос...

Разработчик микросхем Faraday получил заказ на 1,8-нм чип Arm Neoverse Процессоры будут производиться на мощностях Intel Foundry Services и станут одними из первых чипов на архитектуре Arm для ЦОДов, созданных компанией Intel.

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Продажи видеокарт компании NVIDIA резко взлетели Данные крупнейшего немецкого ритейлера MindFactory, предоставленные информационному изданию TechEpiphany, показывают, что графические процессоры серии NVIDIA GeForce RTX 40 теперь опережают продажи видеокарт линейки AMD Radeon RX 7000. Всё благодаря очень высоким продажам но...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

В США вслед за Россией стали относиться к COVID-19, как к сезонной болезни Центры по контролю и профилактике заболеваний США (CDC) вносят существенные изменения в политику профилактики и лечения, рассматривая COVID-19 как сезонный грипп, что согласуется с недавними заявлениями врачей в России. Этот шаг сопровождается новым «руководством по респират...

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

На выбор Core i7, Core Ultra 5 или Ryzen 7. Анонсирован первый мини-ПК компании Colorful — CMNH01-12450 В последнее время всё больше компаний выходит на рынок мини-ПК. Известная своими видеокартами Colorful анонсировала свой первый подобный продукт под названием CMNH01-12450.  В названии сокрыто сердце новинки — процессор Core i7-12450H. Напомним, он имеет чет...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Apple Watch Series X получат новое крепление ремешков Если верить информации западных инсайдеров, умные часы Apple Watch Series X будут уникальным в своём роде продуктом — компания отметит этим релизом 10-летний юбилей с момента запуска своих первых умных часов. С тех пор компания Apple упорно работает, выпуская новые модели с ...

Из TikTok скоро могут пропасть песни TikTok грозят серьезные проблемы, поскольку срок действия лицензионного соглашения с Universal Music Group (UMG), одним из крупнейших в мире правообладателей музыки, истекает 31 января. UMG обвинила TikTok в том, что она вынудила ее заключить сделку на меньшую сумму, чем пре...

Индия выбирает SpaceX: Запуск крупного коммуникационного спутника GSAT-20 запланирован на ракете Falcon-9 Индийское космическое агентство ISRO собирается запустить свой крупный коммуникационный спутник с помощью ракеты SpaceX. Это первый раз, когда Индия будет использовать тяжёлую ракету Falcon-9, запуск которой состоится из Флориды.  ISRO и Департамент космических исс...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Apple отменила разработку Apple Watch Ultra с дисплеем MicroLED Согласно данным от инсайдеров, ссылающихся на одного из основных поставщиков, Apple пришла к выводу, что такой продукт не имеет смысла: новый тип экрана не принесет никаких преимуществ для часов, при этом производственные затраты будут слишком высокими.

«Их там нет»: в России снова будут продавать Hyundai и Kia, но под брендом Solaris Месяц назад российская компания «Арт-Финанс» заключила сделку по приобретению ООО «Хендэ Мотор Мануфактуринг Рус», включающую два завода Hyundai в Санкт-Петербурге, пишет AutoReview. Теперь AGR Automotive, бывший представитель концерна Volkswagen, входящая в структуру «Арт-Ф...

Intel хотя бы частоту немного подняла. Процессоры AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, похоже, вообще ничем не отличаются от предшественников В Сети появились первые результаты тестирования мобильных процессоров AMD Ryzen 9 8940H, Ryzen 7 8840HS и Ryzen 5 8640HS, которые выйдут в начале следующего года.  Новинки набирают 2200-2400 баллов в однопоточном режиме, а в многопоточном результаты в том же поряд...

Владелец российских заводов Volkswagen и Hyundai может выпустить автомобили под брендом Agron AGR Automotive Group, владелец бывших автозаводов Volkswagen и Hyundai Motor в России, зарегистрировал новый товарный знак Agron. Регистрация включает в себя как графическое решение логотипа, так и саму торговую марку. Товарный знак Agron был зарегистрирован по широкому...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Незаметно для многих на рынке активно растёт «новая Xiaomi». Благодаря отличным продажам Tecno холдинг Transsion занял четвёртое место на рынке смартфонов Компания Transsion Holdings многим может быть неизвестна, но именно ей принадлежат активно набирающие обороты бренды Tecno и Infinix. И благодаря их растущим продажам в третьем квартале Transsion нарастила свою выручку на 39%, до 18 млрд юаней (2,52 млрд долларов). ...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Mitsubishi выпустит две модели на базе автомобилей Renault Mitsubishi добавит еще две модели от Renault Group, чтобы расширить свой ассортимент в Европе, начиная с компактного полностью электрического кроссовера от подразделения Renault Ampere EV в 2025 году. Японский автопроизводитель вслед за компактным кроссовером выпустит е...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Высоко замахнулись: «Автотор» не сможет выпустить запланированное количество авто, но расширит модельный ряд Калининградский завод «Автотор» в этом году планирует выпустить около 80-100 тыс. автомобилей, о чем сообщил журналистам председатель совета директоров ООО «Автотор Холдинг» Валерий Горбунов. Ранее сообщалось, что «Автотор» собирается...

“Made in China”: легким движением руки процессоры Intel Xeon превращаются в китайские чипы Китайские бренды не раз были замечены за копированием западных и не только разработок, будь то дизайн одежды или технологии. И речь не только о дешевых копиях вещей с Aliexpress, с которыми каждый из нас сталкивался, в отрасли производства и разработки электроники такое тож...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

Один из первых на Ryzen 9 8945HS. В Сети засветился новый ноутбук Asus ROG Zephyrus G14 В Сети засветился один из первых ноутбуков, основанных на новых мобильных процессорах AMD Ryzen 8000.   Модель Asus ROG Zephyrus G14, вероятно, будет доступна в разных конфигурациях с разными APU, но засветилась с флагманским в своей линейке Ryzen 9 8945HS.  ...

Intel забросила, а Asus «подобрала». Компания представила линейку мини-ПК NUC 14 Pro Как известно, Intel отказалась от выпуска ПК под брендом NUC и передала права на этот бренд компании Asus. Asus уже представила игровой ROG NUC, а теперь и обычные модели линейки NUC 14 Pro.  Пока есть не все подробности, но по фотографиям можно видеть, что моделе...

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Новый процессор Huawei с ядрами Taishan V120 показал приличную производительность Появились новые результаты тестов будущего серверного процессора Huawei, показывающие уровни производительности, которые конкурируют с ядрами AMD Zen 3. Процессор был замечен на платформе Geekbench, хотя его точное название пока держится в секрете. Тем не менее, его про...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Apple готовится к релизу процессора A18 Pro Если верить информации зарубежных инсайдеров, которые специализируются на сливах информации о компании Apple, новый процессор A18 Pro для смартфонов выйдет в конце этого года и, вероятно, будет использоваться исключительно в моделях iPhone 16 Pro и iPhone 16 Pro Max, в то вр...

3,5-миллионный рубеж продаж Cult Of The Lamb: представлено новое бесплатное обновление Новое обновление Cult Of The Lamb вносит в популярную игру захватывающие изменения и улучшения. Sins of the Flesh — это крупнейший контентный апдейт для Cult of the Lamb, который достанется всем игрокам бесплатно.

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

В Россию едет новый бренд Sinomach с внедорожником Paladin Компания Sinomach Automobile собирается выйти на рынок легковых автомобилей в России, как сообщает информационный портал «Газета.Ru», ссылаясь на представителей китайского предприятия в России. Представители Sinomach сообщили, что первой моделью, предназначе...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Nvidia может приостановить производство видеокарт RTX 4070 Ti и RTX 4080 Упор компании будет сделан на выпуске адаптеров в варианте Super

АвтоВАЗ собрал прототип «Нивы» с совершенно новым мотором Сегодня АвтоВАЗ запустил пилотное производство электрического универсала e-Largus. Во время этого мероприятия глава компании Максим Соколов рассказал об электрификации нынешней линейки. Оказывается, уже есть электрическая «Нива». Изображение: Lada «У ...

Tesla создаст «более доступную» модель после полного отказа от Model 2 Илон Маск без подробностей сообщил, что Tesla в 2025 году начнёт производство «более доступной», даже чем отменённая Model 2, модели электромобиля. Использовать для этого компания будет «свои нынешние платформы и производственные линии».

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

NVIDIA хочет использовать 16-контактный коннектор PCIe 6.0 на всех будущих видеокартах Известный канал Moore's Law is Dead сообщает, что компания NVIDIA планирует радикальные изменения в конструкции видеокарт следующего поколения, которые будут заключаться в использовании 16-контактного разъёма дополнительного питания PCIe 6.0. и сделано это будет для все...

Toyota начинает производство машин после взрыва у ключевого поставщика комплектующих Toyota сообщила в понедельник, 23 октября, что работа на некоторых заводах в стране возобновилась после того, как авария на заводе поставщика запчастей неделей ранее привела к сбою в производстве. Крупнейший в мире автопроизводитель по объему перезапустил пять производс...

Прощайте, классические Jaguar. Компания снимет с производства седаны Jaguar XF и XE, а также купе F-Type уже летом Британский автопроизводитель Jaguar сегодня сообщил о сокращении модельного ряда вдвое: уже летом производственную гамму компании покинут классические седаны Jaguar XF и XE, а также купе F-Type. Все эти модели выпускаются на заводе в Бирмингеме, но после прекр...

Tesla ведёт переговоры с крупным автопроизводителем по поводу лицензирования своего автопилота Сделка может быть заключена уже в этом году.

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

Цельный алюминиевый кожух, необычный дизайн и конструкция охлаждения, как у карт Founders Edition. MSI показала новую линейку видеокарт Expert Компания MSI сегодня представила не только игровую портативную консоль Claw на процессорах Intel Core Ultra, но и видеокарты новой линейки Expert, которые стоит выделить за их дизайн.  Кохуж таких карт выполнен из цельного куска алюминия в минималистичном дизайне,...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Видеокарты GeForce RTX 50 не получат память GDDR7 с частотой 32-37 ГГц. Они будут довольствоваться более медленной памятью Похоже, видеокарты GeForce RTX 50 как минимум в первой волне не получат память GDDR7 с эффективной частотой 37 или хотя бы 32 ГГц.  Инсайдер kopite7kimi говорит, что на старте нового поколения Nvidia будет использовать новую память с частотой 28 ГГц.  Это вес...

Samsung Exynos 2500 может превзойти Qualcomm Snapdragon 8 Gen 4 по ряду параметров Процессор Samsung Exynos 2500 будет производиться с применением более совершенной технологии.

ASUS выпустила 10-литровый игровой ПК с видеокартой RTX 4070 SUPER Компания ASUS незаметно обновила свой игровой компьютер ROG NUG, выпустив модель ROG C22CH. Новинка получила более мощную видеокарту GeForce RTX 4070 SUPER, тогда как оригинальная версия была оснащена обычной RTX 4070. ОсобенностиНапомним, что новая видеокарта NVIDIA…

Владелец брендов Tecno и Infinix уже на четвёртом месте среди крупнейших производителей смартфонов в мире. Transsion набирает обороты Аналитики Canalys подвели итоги первого квартала на рынке смартфонов. И тут произошли довольно важные изменения.  фото: Tecno В частности, на четвёртое место поднялась компания Transsion, уверенно обойдя Oppo и нарастив свою долю с 6% до 10%. Напомним, компания вл...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Поставки GeForce RTX 4060 Ti в последние недели почему-то резко сократились. Но неясно, будет ли это иметь какой-то эффект Похоже, на рынке образовывается некая проблема с видеокартами GeForce RTX 4060 Ti. Согласно данным Board Channels, поставки этих 3D-карт за последнее время существенно снизились.  Источник говорит, что с начала апреля наблюдается существенное снижение поставок RTX...

Новые, но лишь формально, процессоры Intel Core 14-го поколения будут представлены 8 января Компания Intel представит оставшуюся часть процессоров Core 14-го поколения уже 8 января.  Напомним, пока что представлены были только Core 14xxxK с разблокированным множителем, а большая часть линейки пока недоступна. Нас ждёт множество моделей Core i3, Core i5 и...

В Китае представили собственную видеокарту уровня GTX 1050 Если вы следите за новостями, связанными с рынком видеокарт, то вы могли несколько раз слышать о китайских производителях видеокарт, которые активно работают над собственными решениями. Некоторые крупные компании из Китая действительно стараются выпустить модели исключительн...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Биолог перечислила ГМО-продукты, в которые изменения вносит сама природа Профессор кафедры генетики и биотехнологии Санкт-Петербургского государственного университета, биолог Татьяна Матвеева рассказала, что в ГМО-продукты изменения вносит не только человек.

Первая производственная база в Китае, достигшая углеродной нейтральности при вводе в эксплуатацию — запущен новейший завод Audi На прошлой неделе в Чанчуне, провинции Цзилинь, состоялась церемония запуска Audi FAW New Energy Vehicle Co., Ltd., первого завода по производству полностью электрических моделей Audi в Китае. Согласно официальным сообщениям, новая площадка планирует иметь годовую произ...

Следующая версия Windows на подходе. Qualcomm заявила, что новая ОС выйдет в середине года Глава Qualcomm Криштиану Амон (Cristiano R. Amon), похоже, подтвердил, что в этом году нас ждёт новая версия Windows. Правда, пока нельзя однозначно утверждать, что речь идёт о Windows 12. создано DALL-E Выступая на мероприятии, посвящённом квартальному отчёту, Амон за...

Представлен игровой ноутбук Acer Predator Blade Neo с видеокартой RTX 4060 Компания Acer анонсировала свой новый игровой ноутбук Predator Blade Neo. Новинка отличается тонким и легким дизайном. Толщина ноутбука составляет всего 18,82 мм, вес – 2,05 кг. ОсобенностиAcer Predator Blade Neo (также известный как Predator Triton Neo 16) заключён в…

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

Порядок расчета платежей за ввоз автомобилей в РФ изменится 1 апреля 2024 Правительство Российской Федерации вносит изменения в процесс расчета платежей за автомобили, ввозимые из других стран Евразийского экономического союза (ЕАЭС), С 1 апреля текущего года. Если таможенная стоимость автомобиля была занижена в стране экспорта, то при ввозе ...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Mini Clubman сняли с производства Mini Clubman был снят с производства спустя 17 лет, поскольку Mini уступил место электрическому Aceman, о чем пишет Autocar. Впервые Clubman поступил в продажу в 2007 году как версия универсала Mini с новой вертикально разделенной задней дверью. Теперь он уступил место ...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Vivo представила уже третий смартфон V30 Lite Сегодня был официально анонсирован новый смартфон Vivo V30 Lite, который интересен не только своими характеристиками, но и подходом производителя к формату названия своих новинок. Дело в том, что данный гаджет был представлен в Камбодже и это уже третий смартфон с одним и те...

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

NVIDIA GeForce RTX 4070 Ti резко подешевела Производители видеокарт на текущий момент предлагают крупные скидки на графические процессоры NVIDIA GeForce RTX 4070 Ti, чтобы как можно быстрее избавиться от остатков данной модели (видеокарта уже не производится). Причина также заключается в том, что компания AMD снизила ...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Бельгийский производитель Simera Sense получил $15 млн инвестиций на расширение производственных мощностей для кубсатов с улучшенным разрешением Бельгийский производитель фотоаппаратов Simera Sense привлек почти $15 000 000 на расширение мощностей по сборке систем за пределами Южной Африки и ближе к производителям компонентов в Европе с целью разработки продуктов для кубсатов с более высоким разрешением. Генерал...

Новые MacBook Pro получат более яркие светодиоды miniLED в подсветке экранов, но сами экраны ярче не станут До конца года Apple может выпустить обновлённые ноутбуки MacBook Pro 14 и 16. Обо всех изменениях данных пока нет, но DigiTimes говорит об улучшенных экранах.  Если точнее, о более яркой подсветке miniLED, которая, впрочем, не приведёт к повышению яркости самих эк...

Nvidia не хочет снижения цен на видеокарты RTX 40. Компания снизит производство GPU в преддверии запуска RTX 50 и высвободит мощности для H100 Компания Nvidia якобы уже готовится сокращать поставки GPU поколения RTX 40 в преддверии выхода линейки RTX 50.  Ресурс Quazarzone говорит, что Nvidia уже сообщила своим партнёрам, что поставки графических процессоров резко сократятся. Правда, не уточняется, каких...

Qualcomm будет использовать в Snapdragon 8 Gen 4 свои ядра В сети есть данные о том, что компания Qualcomm при разработке процессора Snapdragon 8 Gen 4 может отказаться от дизайна ядер ARM в пользу собственных ядер Oryon или Phoenix. Согласно данным иностранных специалистов, предстоящий чип будет массово производиться на обновлённом...

Таким «харлеям» тут не место. Harley-Davidson продал свой бренд электровелосипедов Serial 1 Многие производители автомобилей и мотоциклов проявили интерес к рынку электрических велосипедов, включая Porsche, Ducati и Audi. У культового американского мотоциклетного бренда Harley-Davidson также было подразделение, специализировавшееся на электрических велосипедах...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Core Intel i7-14700F, Nvidia RTX 4060 Ti, 32 ГБ/1 ТБ, в компактном корпусе, недорого. ПК Lenovo GeekPro 2024 поступил в продажу в Китае Компактный игровой ПК Lenovo GeekPro 2024 с процессором Core Intel i7-14700F и графическим процессором RTX 4060 Ti теперь доступен в Китае Lenovo представила модель GeekPro Gaming Desktop 2024, новое дополнение к своей линейке игровых ПК, в которой недавно были представ...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

США покажут рекордные темпы роста производства полупроводников, но всё равно останутся пятыми. Таков прогноз аналитиков SIA к 2032 году В последние годы США активно наращивают свои полупроводниковые производственные мощности. Новый закон CHIPS, который призван ещё сильнее усилить позиции США на этом рынке, в итоге позволит стране утроить производство чипов к 2032 году, и это будет самым большим темпом р...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Производитель российских ABS получит 10 млн отечественных резисторов для «для санкционно-стойких автомобильных блоков» Компания «Итэлма», запустившая летом в Костроме производство блоков ABS для автомобилей Lada, заключила партнерство с предприятием «Ресурс»: оно поставит «Итэлме» 10 миллионов резисторов уже в текущем году. Эти компоненты будут и...

TSMC приостановила производство чипов после землетрясения на Тайване Тайваньская компания по производству полупроводников (TSMC), крупнейший в мире производитель современных чипов, остановила работу некоторых машин по производству микросхем и эвакуировала персонал после крупнейшего землетрясения, произошедшего на острове. Это может вызвать…

В сети появились первые изображения планшета Lenovo Tab Plus Планшеты Lenovo, ориентированные на медиа, известны своим массивным корпусом, и похоже, что компания не будет вносить изменения в дизайн в ближайшее время.

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Не мытьем, так катаньем: NVIDIA начнет поставки в Китай мощных видеокарт, которые не подпадают под санкции США Американская компания NVIDIA сделала попытку приспособиться к недавно введенным ограничениям на экспорт своей продукции в Китай. 28 декабря она анонсировала видеокарту GeForce RTX 4090D. Чип выпущен специально под требования китайского рынка, без нарушения санкционных пост...

Немецкая Covestro начала производство химиката с сахаром вместо нефти В ходе эксперимента на одном из крупнейших химических комплексов в Европе немецкая компания Covestro тестирует производство ключевого продукта из сахара вместо нефти, стремясь уменьшить углеродный след промышленности.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

King Price — новый «российский» бренд SSD, блоков питания, корпусов для ПК и других комплектующих. Он принадлежит «Мерлиону» В условиях ухода многих западных брендов электроники с российского рынка, отечественные дистрибуторы ищут новые возможности для развития бизнеса. Одним из перспективных направлений становится выпуск компьютерных компонентов под собственными торговыми марками (СТМ). Так,...

Игровой ноутбук за 550 долларов со 120-герцевым экраном, но без дискретной видеокарты и без Windows. Представлен Lenovo IdeaPad Gaming Chromebook Plus Google вчера представила новую категорию своих ноутбуков под названием Chromebook Plus, а некоторые производители анонсировали первые соответствующие модели. И одной из них стал игровой хромбук IdeaPad Gaming Chromebook Plus.  Игровой хромбук — это даже звуч...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Ещё один очень дешёвый складной смартфон для России? Infinix готовит свой первый подобный аппарат под названием Zero Flip Компания Infinix решила выпустить свой первый складной смартфон.  Tecno Phantom V Flip; фото: Tecno Устройство будет называться Zero Flip, то есть это будет раскладушка. Это, в свою очередь, даёт надежду на очень невысокую, по меркам класса, цену, ведь Infinix спе...

SK Hynix начинает серийное производство первой в отрасли памяти HBM3E Компания SK hynix Inc. объявила о начале серийного производства HBM3E, новейшего продукта памяти AI со сверхвысокой производительностью, который будет поставляться заказчику с конца марта

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Jon Peddie: Цены на видеокарты за год упали вдвое Глобальный дефицит чипов ослабевает, на рынке криптовалют — существенный спад, потребители откладывают покупки до выхода новых графических процессоров. Согласно данным о состоянии рынка, собранным Jon Peddie Research и проанализированным немецким сайтом 3DCenter, в первом...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Apple скоро представит новые ноутбуки на процессорах М3 В прошлом месяце достаточно известные поставщики секретной информации заявили, что компания Apple уже работает над созданием ноутбуков нового поколения на процессоре M3. Тогда никакой детальной информации у инсайдеров не было, но сегодня она появилась, так как западные журна...

В США роботов-гуманоидов испытают на производстве автомобилей BMW Американская компания Figure разработала серию роботов-гуманоидов Figure 01, которых протестируют на автомобильном производстве. Гуманоиды будут вовлечены в производственные процессы одного из американских заводов BMW.

В России сократится производство Lada Granta, Vesta и Niva. Стратегия АвтоВАЗа на ближайшие годы будет определена на рубеже 2023 и 2024 годов. Президент АвтоВАЗа Максим Соколов заявил в кулуарах форума «Транспорт России», что итоговое производство автомобилей Lada на 2023 году может сократиться на 10%. «Мы выйдем примерно на те плановые показатели, о которых говорили еще год назад, ...

Под брендом Shiftall выйдут VR-очки, «имитатор температуры» и умный спикер Сразу три новых продукта для вселенной Metaverse представила на международной выставке CES 2022 компания Shiftall Inc., принадлежащая Panasonic. Уже весной поклонники виртуальной реальности смогут приобрести полный комплект для полного погружения в метавселенную: VR-гарнитур...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

«Илон Маск, я думал о вас». Глава Intel пригласил миллиардера на экскурсию по заводу компании Компания Intel имеет амбициозный план стать вторым после TSMC крупнейшим производителем полупроводниковой продукции в мире к 2030 году. А для этого ей нужны клиенты. Глава Intel Пэт Гелсингер (Pat Gelsinger), видимо, видит в качестве потенциального клиента компанию Tesl...

Индия хочет по примеру EC обязать всех перейти на USB-C, но Apple это очень не нравится. Компания просит сделать исключение для старых iPhone Apple уже перевела свои смартфоны на порт USB-C из-за свежих законов Евросоюза, но теперь то же самое хочет внедрить и Индия, и для Apple это проблема.  фото: Apple Как сообщает Reuters, Индия тоже хочет обязать производителей перейти на единый стандарт USB-C и се...

MediaTek Dimensity 9400 появится в десятках новых смартфонов Совсем скоро в релиз должен отправиться новый мобильный процессор Dimensity 9400 от компании MediaTek. И если не считать компании Google и Apple, MediaTek уже заключила контракты со всеми крупными производителями смартфонов о поставках нового флагманского процессора, но сего...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

BYD продолжает запускать заводы в новых странах: стартовало производство машин в Таиланде, на очереди — Индонезия BYD начала тестовое производство на заводе в Таиланде. Серийное производство начнется в следующем месяце. Планируемый объем производства тайского завода составит 150 000 автомобилей в год. В апреле 2024 года BYD также начнет строительство сборочного завода в Индонезии. ...

Роботы-гуманоиды компании Sanctuary AI пройдут крупные заводские испытания Канадский производитель роботов-андроидов Fenix с манипуляторами, максимально похожими на человеческие руки, Sanctuary AI, заключил договор с одним из крупнейших мировых производителей автозапчастей Magna International Inc.

Готовимся прощаться с RTX 4070 Ti и RTX 4080, но не с RTX 4070. Последняя будет существовать вместе с RTX 4070 Super Как мы недавно сообщали, массовое производство видеокарт RTX 4070 Ti и RTX 4080 уже завершено, а запасы иссякнут до конца года. При этом модель RTX 4070, как сообщается, никуда с рынка не денется.  RTX 4070 будет младшим решением в линейке, для которого выйдет вер...

Процессор Intel полувековой давности. 50 лет назад компания представила Intel 8080 Полвека назад компания Intel представила процессор Intel 8080.   12 апреля 1974 года в журнале Electronics была опубликована фактически анонсирующая статья Масатоши Симы и Федерико Фаггина о новом CPU компании.   Новый процессор содержал около 4500 транзистор...

Новые Aurus будут выпускать при поддержке китайского партнера, возможно, Hongqi. Бывший завод Toyota также будет собирать премиальные китайские авто Новая линейка автомобилей Aurus будет выпускаться на бывшем заводе Toyota в Санкт-Петербурге в партнерстве с китайским автопроизводителем, о чем заявил главный редактор «За рулём» Максим Кадаков. «Это точно будет один из китайских производителей. Наибо...

«Сделано на Дону». Производство планшетов и смартфонов запустят в Ростовской области Губернатор Ростовской области Василий Голубев заявил, что российская компания «Бештау» планирует начать производство планшетов и смартфонов в Ростовской области. «Если посмотреть немного дальше, в 2027 год, то компания перед собой ставит цели, пра...

После скандала с фальсификацией данных и остановки производства Toyota возобновила работу всех линий Toyota Motor, крупнейший автоконцерн в Японии, возобновил работу всех производственных линий в стране после того, как часть из них была приостановлена в 2023 году из-за скандала, связанного с фальсификацией данных о мощности дизельных двигателей, предоставленных подрядч...

Intel отказывается от Core i3? В линейке процессоров Arrow Lake не будет моделей Core Ultra, а вместо них будут старые Core i3 Процессоры Intel Arrow Lake не только окончательно похоронят бренд Core i, заменив его на Core Ultra. Оказывается, они ещё и откажутся от моделей Core Ultra 3, которые могли бы называться Core i3.  Инсайдер Golden Pig Upgrade утверждает, что ни в мобильном, ни в н...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Представлен Gigaset GS5 Pro SE – смартфон, изготовленный в Германии Немецкая компания Gigaset анонсировала свой новый смартфон Gigaset GS5 Pro SE. Новинка представляет собой небольшое, но существенное обновление по сравнению со своим предшественником, выпущенным ещё в 2021 году. Основные изменения включают замену основной камеры, добавление…

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

AMD выпустит новые графические процессоры для ноутбуков RX 7700M/7800M на CES 2024 По данным TechPowerUp, AMD вскоре выпустит видеокарты для ноутбуков на базе графического процессора Navi 32. Речь идёт о моделях под названием RX 7700M и RX 7800M. До этого момента AMD выпустила различные графические процессоры для ноутбуков серии RX 7000, включая высок...

Наше — началось серийное производство российских мониторов «Аквариус» Компания «Аквариус» заявил о начале серийного производства российских мониторов. Доступны две модели с экранами диагональю 27" и 23,8". Обе оснащены антибликовым покрытием, имеют разрешение Full HD и поддерживают технологии Low Blue Light, FreeSync...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Intel представила шесть новых видеокарт, но на самом деле ни одна из них не новая. Анонсированы встраиваемые адаптеры Arc AxxxE На множестве новых и не очень процессоров Intel сегодня не остановилась и представила также видеокарты. Это адаптеры Ark встраиваемых и периферийных систем.  Всего представлено шесть моделей: от Arc A310E до Arc A750E. Технически это те же обычные игровые Arc, но ...

Intel представила шесть новых видеокарт, но на самом деле ни одна из них не новая. Анонсированы встраиваемые адаптеры Arc AxxxE На множестве новых и не очень процессоров Intel сегодня не остановилась и представила также видеокарты. Это адаптеры Ark встраиваемых и периферийных систем.  Всего представлено шесть моделей: от Arc A310E до Arc A750E. Технически это те же обычные игровые Arc, но ...

Китайцы готовы разрабатывать автомобили специально для России С довольно неожиданным заявлением выступил посол КНР в России Чжан Ханьхуэй во время проведения второго Российско-китайского автопромышленного форума. Он сказал, что Китай готов разрабатывать автомобили специально для России. Несмотря на наличие собственного завода в Р...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Такие ПК могут быть выходом в ситуации проблемных процессоров Core i9. Lenovo готовит настольный игровой ПК Legion Blade 7000K на мобильных CPU Core HX Компания Lenovо решила выйти на рынок MoTD (Mobile on Desktop). Речь о настольных компьютерах, основанных на мобильных процессорах. Этот сегмент в последнее время активно развивается, так как мобильные CPU стали намного более мощными, а старшие линейки у AMD и Intel тех...

Founders Edition – быть. Первый официальный тизер видеокарт Nvidia GeForce RTX 40 Super Бразильский офис Nvidia установил новую обложку на своей официальной страничке в Twitter. Изображение демонстрирует новую видеокарту с дизайном Founders Edition – очевидно, это одна из моделей новой линейки Super. И, скорее всего, это именно топовая GeForce RTX 40...

Зачем банкам менять интерфейсы, и как это сделать, если вы тоже хотите Если поставить цифровые продукты в один ряд с объектами промышленного дизайна, первые отличаются большей пластичностью и стремлением к изменениям из-за более короткого дизайн-цикла.Финтех давно перестал быть чем-то исключительным, став доступнее для широкой аудитории. Управ...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Анонс Nokia XR21 Limited Edition – первая европейская Nokia за 10 лет HMD Global, финская фирма, производящая смартфоны под брендом Nokia, объявила, что начнёт производство 5G-смартфонов в Европе. Первым таким устройством станет прочный и водостойкий Nokia XR21 Limited Edition. Эта версия устройства будет производиться специально в Венгрии. …

Расследование: Intel изменила параметры одного из процессоров Core Ultra после анонса. У Core Ultra 5 135H стало больше ядер в iGPU Компания MSI на выставке CES 2024 представила свою портативную игровую консоль Claw, которая первой в мире получила процессоры Core Ultra. Оказалось, что для одного из таких CPU Intel изменила параметры уже после анонса.  слайд с презентации описание MSI Claw на ...

Неправильно затянутый болт может стать причиной возгорания новых Mercedes-Benz GLE Рабочий завода Mercedes спровоцировал отзыв 164 автомобилей Mercedes-Benz GLE из-за неправильной регулировки болта, соединяющего заземляющий провод с 12-вольтовыми аккумуляторами. Теперь Mercedes-Benz отзывает некоторые модели GLE 350 и 450 2021–2022 модельного го...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Nvidia наращивает поставки GPU для RTX 4090 в Китай в преддверии запрета поставок Компания Nvidia наращивает поставки графических процессоров для GeForce RTX 4090 в Китай в преддверии запрета, который вступает в силу 17 ноября.  Как сообщает MyDrivers, cразу несколько производителей видеокарт подтвердили, что серия RTX 4090 будет полностью запр...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Nvidia может заключить контракт с Intel Foundry Services для расширения производства Компания прогнозирует, что спрос на её продукцию продолжит расти напротяжении всего 2024 года

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Такая Radeon RX 8900 XTX могла бы одолеть даже GeForce RTX 5090? Появились параметры отметённого графического ядра AMD для топовой видеокарты Согласно многочисленным данным, линейка видеокарт Radeon RX 8000 обойдётся без настоящего флагмана и полноценной замены RX 7900 XT/XTX. Но теперь в Сети появились параметры того самого GPU Navi 4x, который послужил бы основой для RX 8900 XT/XTX.   Итак, этот графи...

Великое возвращение Huawei: компания обошла всех и возглавила китайский рынок смартфонов, по данным Canalys Huawei удалось вернуться в лидеры на рынке смартфонов Китая, опередив Apple, Oppo, Vivo и другие компании. Об этом говорится Данные за первый квартал 2024 года, опубликованные в конце прошлой неделе аналитической компанией Canalys, показывают, что Huawei поставила в Кит...

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

iOS 18 и iPadOS 18 получат новый интерфейс в стиле visionOS Apple уже достаточно давно не вносила существенных изменений в дизайн iOS. И вот израильский портал The Verifier со ссылкой на собственные источники заявил, что купертиновцы готовят серьезное обновление интерфейса для своих мобильных операционок. Если верить авторам ресурса,...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Китайская компания SMIC скоро запустит производство 5-нм чипов. На этот раз по-настоящему Примерно месяц назад мы публиковали статью «Чуда не произошло: "китайский" 5-нм процессор Kirin 9006C выпускала фабрика TSMC». В ней говорилось о том, что на самом деле чип Kirin 9006C, который установила Huawei в своём ноутбуке, произведён не в Китае, его поставила в Поднеб...

$2500 в месяц на сервисе с 1 функцией, которая уже была у крупных компаний Разбираем, как разработчик создал простой продукт с выручкой $2500 в месяц, связав два популярных сервиса. Несмотря на то, что это уже было сделано до него крупными компаниями. Читать далее

«Мы спасли Белвидир. Мы снова сделали это…», — 1200 рабочих вернутся на завод собирать новый автомобиль Stellantis В конце февраля компания Stellantis приостановила сборочный завод в Белвидире, штат Иллинойс, где раньше производился Jeep Cherokee. Это радикальное решение означало, что 1200 рабочих пришлось уволить без каких-либо обещаний о будущей работе. После подписания предварите...

Exeed станет для Chery станет аналогом Lexus для Toyota. Европейские люксовые автомобили будут использовать новейшую платформу Chery Председатель Chery Automobile Инь Тонъюэ проехал за рулем нового кроссовера Star Era ET, чтобы оценить его запас хода и умные возможности. После чего он выступил на пресс-конференции. Инь Тунъюэ сообщил, что два европейских люксовых бренда будут использовать платформу C...

Характеристики Intel Core i9-14900KS окончательно слили в сеть Сегодня в сети появилась детальная информация о процессоре Intel Core i9-14900KS — ритейлер представил страницу продукта и указал все характеристики нового чипа, который в ближайшее время должен поступить в продажу. Чип Intel Core i9-14900KS будет первым в мире процессором, ...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Huawei Mate 70, который потягается с iPhone 16, получит очень быстрый чип Kirin: он уже набирает более 1,1 млн баллов в AnTuTu Компания Huawei выпустила свои последние флагманы Pura 70 с новым чипом Kirin 9010. Хотя SoC имеет тот же производственный процесс и базовую архитектуру, что и Kirin 9000, компания Huawei еще больше оптимизировала его, чтобы обеспечить производительность в своих последн...

ЕвроХим ввел в эксплуатацию современный комплекс по производству фосфатных удобрений в Бразилии ЕвроХим запустил в промышленную эксплуатацию новое предприятия по производству фосфорных удобрений в городе Серра-ду-Салитри, Бразилия. Новый комплекс – крупнейшая за последнее время инвестиция Группы в создание новых производственных мощностей за пределами Российской Федера...

Huawei может продать 10 миллионов смартфонов Pura 70 Буквально вчера китайская компания Huawei представила новые смартфоны серии Pura 70, а уже сегодня появилась информация от некоторых специалистов о том, что данный бренд может продать более 10 миллионов единиц этих смартфонов в 2024 году, если не столкнётся с проблемами прои...

Новым процессорам Intel Core 14-го поколения можно будет разрешить работать при температуре до 115 градусов. Как минимум на платах MSI Процессоры Alder Lake и Raptor Lake выделяются очень большим энергопотреблением и нагревом. Raptor Lake Refresh из-за повышенных частот и отсутствия каких-то изменений в архитектуре будут потреблять и греться ещё больше. И при желании в некоторых случаях можно будет поз...

У Toyota появился новый кроссовер: компания запустила в производство Toyota C-HR второго поколения Toyota сообщила о начале производства кроссовера C-HR второго поколения. Выпускать автомобиль будут на предприятии Toyota в турецкой Сакарье – это первый европейский центр Toyota по производству гибридных автомобилей. Производственная линия рассчитана на выпуск 75...

«Москвич» попробует ещё раз. Завод установил на 2024 год такой же план, который провалили в 2023 Директор по производству Московского автозавода Олег Масляков заявил, что «Москвич» планирует наращивать производство параллельно с развитием продаж и расширением дилерской сети. «Производственный план автозавода „Москвич“ на 2024 год &mdas...

УАЗ больше не лидер на ключевом для себя рынке. В сегменте пикапов теперь номер один — JAC Компания «Автомаркетолог» подготовила отчет о рынке пикапов в сентябре текущего года. Раньше в этом сегменте лучшим был УАЗ, но сейчас он лишь входит в топ-3, причем держится только на третьей позиции. JAC T6. Фото: JAC Больше всего пикапов в сентябре 2023 ...

Компания Infinix готовит свой первый складной смартфон Zero Flip Никаких технических подробностей нет, но новинка Infinix могла бы быть схожей с Tecno Phantom V Flip, так как оба бренда принадлежат одной компании (Transsion).

Если в этом году захочется видеокарту GeForce нового поколения, придётся покупать RTX 5090. До конца года Nvidia может не выпустить других моделей Этой осенью нас ждут новые видеокарты Nvidia и AMD. Ранее мы ожидали и новинки Intel, но теперь есть слухи, что их мы не увидим. Так или иначе, согласно свежим данным, от Nvidia в этом году мы получим только одну новую модель 3D-карты.  создано DALL-E GeForce RTX ...

Индийская армия получила первую партию 24 ПЗРК "Игла-С" и 100 ракет российского производства Первая партия из 24 ПЗРК "Игла-С" и 100 ракет российского производства была передана индийской армии в рамках более крупной сделки, в соответствии с которой, остальные комплексы будут производиться в Индии. Эта система приобретается для усиления возможностей противовоздушной...

Началось производство нового Skoda Kodiaq: завод выпускает 410 машин в день Компания Skoda объявила о старте массового производства своего нового кроссовера Kodiaq второго поколения. Сборка автомобиля осуществляется на заводе бренда в чешских Квасинах, предыдущем месте производства седана Skoda Superb. Согласно информации от пресс-службы компан...

Не одной Nvidia единой. Microsoft будет использовать чудовищные ускорители AMD Instinct MI300X, имеющие по 192 ГБ памяти каждый Компания AMD получила очень крупного клиента для своих ускорителей Instinct MI300X. Этим клиентом стала Microsoft.  фото: AMD Вчера Microsoft не только представила свои собственные процессоры Azure Maia 100 AI Accelerator и Azure Cobalt 100, но и объявила о том, ч...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Ещё один тайваньский вендор откроет завод по производству чипов с ИИ Ещё один тайваньский чипмейкер, компания Powerchip Semiconductor Manufacturing Corp. (PSMC) построит новый завод по производству чипов и микросхем памяти для широкого спектра приложений, включая технологии ИИ. Но для этой компании строительство завода скорее не переход на но...

Согласно дорожной карте Intel может выпустить видеокарты Arc Battlemage в 2024 году Данные видеоадаптеры должны будут составить конкуренцию новым графическим процессорам от Nvidia и AMD

Jeep выпустит пять новинок 2025 год станет большим годом для Jeep . В продажу поступят два электромобиля с аккумуляторной батареей и большая линейка подключаемых гибридов. Новый генеральный директор Jeep Антонио Филоса в пятницу проинформировал средства массовой информации о планах компании на пр...

Прощай, легенда. Спустя 60 лет Chevrolet прекратит производство Malibu Дни большого седана Chevrolet Malibu сочтены: на этой неделе General Motors объявила, что производство Malibu завершится в ноябре. Газета Detroit News сообщает, что Bolt следующего поколения в конечном итоге займет место Malibu на заводе GM в Канзасе. «Чтобы облег...

Сначала AMD и Qualcomm, затем Intel, а Apple будет последней. Появилась дорожная карта, описывающая график выхода новых процессоров всех компаний Согласно данным аналитиков Canalys, однокристальная система Apple M4 может увидеть свет в начале 2025 года.  Дорожная карта, построенная аналитиками, говорит о запуске продуктов на SoC M4 в первом или втором квартале 2025 года.  Напомним, SoC M3 присутствует ...

Seasonic решила не отставать: компания представила L-образный кабель для видеокарт Один их ведущих производителей блоков питания, компания Seasonic, выпустила кабель с L-образным разъёмом под углом 90 градусов. Такие кабели будут поставляться с графическими процессорами нового поколения.

Видеокарта GeForce RTX 5080, вероятно, получит 256-битную шину. Появились некоторые параметры GPU GB203 и GB205 До выхода видеокарт Nvidia поколения Blackwell ещё довольно далеко, но постепенно в Сети появляются новые подробности об этих решениях. Теперь вот стало известно, какую шину получат нетоповые решения.  Инсайдер kopite7kimi говорит, что адаптеры на основе GPU GB203...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Nvidia решила сама взяться за популяризацию игровых ПК формата SFF. Компания запустила целую инициативу по этому поводу Компания Nvidia решила, что на рынке мало по-настоящему компактных и по-настоящему игровых ПК, поэтому вместе с партнёрами запустила инициативу SFF Enthusiast GeForce, в рамках которой на рынке появятся новые продукты для создания ПК класса SFF.  фото: Nvidia Речь...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Лу Вейбинг и Лэй Цзюнь просят поддержки для флагманской линейки Redmi K70 Лу Вейбинг, президент Xiaomi Group, президент международного отдела и генеральный менеджер бренда Redmi, похоже, начал готовить поклонников к анонсу Redmi K70. «Давайте обсудим, стоит ли увеличивать производственные планы и увеличивать заказы», — с так...

AMD празднует 55-летие Компании AMD вчера исполнилось 55 лет. Она была основана 1 мая 1969 года бизнесменом Джерри Сандерсом и семью его коллегами, которые до этого работали в Fairchild Semiconductor.   Довольно долго AMD не была той компанией, о которой знают буквально все, кто хоть не...

Компания NVIDIA намерена прекратить производство видеокарт GeForce GTX 16 Конец эпохи GeForce GTX: видеокарты 16-серии снимаются с производства

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Яндекс объединяет бизнес: все торговые марки продуктов в едином направлении Команда Яндекса собирается объединить в одно направление бизнес по продвижению товаров под собственными торговыми марками. Об этом сообщила пресс-служба компании.  Иллюстрация: Яндекс Речь идёт о продуктах питания под собственным брендом в «Лавке» и &l...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Qualcomm уже проектирует Snapdragon X Elite Gen 2 Компания Qualcomm и её партнёры из сегмента ноутбуков активно работают над тем, чтобы мобильный процессор Snapdragon X Elite с довольно приличной производительностью появился в портативных компьютерах уже во второй половине 2024 года. Кроме того, уже ходят слухи о том, что в...

В Калининградской области построят базу для криогенного производства В пресс-службе правительства Калининградской области сообщили, что опытно-конструкторское бюро "Факел" получило разрешение на строительство базы для производства криогенных продуктов в индустриальном парке Храброво в области. Производственный комплекс будет занимать два гект...

Это будет настоящий смартфон для профессионалов? Новая модель Sony Xperia Pro может получить фокусное кольцо для камеры Следующий смартфон Sony линейки Xperia Pro, если она сохранит это название, может получить уникальный элемент управления камерой.  создано DALL-E Речь о фокусном кольце, которое позволит управлять приближением и диафрагмой. То есть элемент будет схож по своей сути...

В Intel раскрыли некоторые особенности новых процессоров Arrow Lake и Lunar Lake Arrow Lake будет производиться на технологического процесса N3 от TSMC, а уже Lunar Lake будет создан на N3B

Текущий глава Intel Пэт Гелсингер почти 40 лет назад фактически «расписался» на каждом процессоре Intel 80386, а обнаружили это лишь сейчас Текущий глава Intel Пэт Гелсингер (Pat Gelsinger), как оказалось, «расписался» на каждом процессоре Intel 80386 (или просто Intel 386).  Гелсингер изначально не был управленцем — он был, кроме прочего, одним из основных разработчиков культового п...

Стали известны планы по моделям Jeep на ближайшие годы Автоконцерн Stellantis и профсоюз UAW достигли предварительного соглашения о новом контракте для рабочих. В ходе этих переговоров стали известны производственные планы для нескольких моделей, включая Jeep и Dodge, как сообщает Motor1. Большинство популярных внедорожнико...

Американское Минобороны заключило договор с SNC на производство новых самолётов судного дня Техника должна быть готова к 2036 году

В Дубае начнут строительство крупнейшей в мире вертикальной сельскохозяйственной фермы В Дубае уже находится крупнейшая в мире вертикальная ферма, но ОАЭ собираются побить свой собственный рекорд с помощью GigaFarm, которая может заменить до 1% импорта продуктов питания.

Самые кошачьи ноутбуки? Colorful готовит мобильные ПК MEOW с процессорами AMD Компания Colorful готовится выпустить ноутбуки семейства MEOW с процессорами AMD.  Полноценный анонс запланирован на 23 февраля, но ноутбуки уже проходят сертификацию. Известно о двух моделях: MEOW R15 24 и MEOW R16 24, оснащённых экранами диагональю 15 и 16 дюймо...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Samsung Galaxy S24 станет первым смартфоном бренда с помощником-аналогом ChatGPT В мире технологий наступает новая эра, и Samsung вносит свой вклад, представляя Galaxy AI — новаторский искусственный интеллект, который впервые появится в смартфонах серии Galaxy S24.

Крупная компания, подававшая заявки на ETF, назвала эти активы «незрелыми» Одна из крупнейших американских инвестиционных компаний Vanguard отказалась от внедрения в свои продукты портфеля биткоин-ETF.

Intel Core i9-14900KS будут продавать за 750 долларов Если верить информации из сети, процессор Intel Core i9-14900KS должен отправиться в релиз в самое ближайшее время, буквально на следующей неделе. К сожалению, никто так и не смог слить в сеть информацию о том, сколько будет стоить данный процессор, но сегодня магазин MicroC...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Праздник для покупателей Lada Granta: новые цвета, 16-клапанный мотор и мультимедийная система EnjoY Pro Завод АвтоВАЗ согласовал производственный план на октябрь этого года, когда долгожданные изменения придут в линейку Lada Granta. Об этом сообщает паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте» "Обогащение" цветовой гаммы и вариантов ...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

iPhone 16 может оказаться без физических кнопок По данным источников, тайваньская компания Advanced Semiconductor Engineering (ASE) заключила эксклюзивный контракт на производство чипов SiP (System-in-Package), которые заменят физические кнопки в новых iPhone.

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Теперь официально: Southeast Motor — это Chery Как сообщает ITHome, Chery Automobile стала единственным акционером компании Fuzhou Qingkou Holdings, которой принадлежит автопроизводитель Southeast Motor. Соответствующие изменения внесены в официальные документы. Ранее единственным акционером Fuzhou Qingkou Holdings ...

SK Hynix и NVIDIA совместно работают над размещением памяти непосредственно над GPU Компании SK Hynix и NVIDIA заключили договор о техническом сотрудничестве, целью которого является изменение архитектуры GPU с интеграцией слоя памяти HBM4. Техническая инициатива нацелена на изменение существующего подхода соединения и компоновки логики и памяти, а так...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

MediaTek заключила контракт с Samsung Согласно информации инсайдеров, корейский гигант Samsung согласился на подписание эксклюзивной сделки с MediaTek, благодаря которой чипы MediaTek могут быть использованы в предстоящих моделях смартфонов бренда в бюджетном и среднем сегментах. Если верить этому инсайду, компа...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Архитектура BlackHawk, новейшее ядро X5 и скорость выше, чем у Apple A17 Pro. MediaTek Dimensity 9400 станет самым производительным чипом для смартфонов в этом году Предстоящий флагманский чип MediaTek Dimensity 9400 будет использовать новейшую архитектуру процессора Arm под кодовым названием BlackHawk и будет оснащен сверхбольшим ядром X5, о чем сообщил Digital Chat Station. Сообщается, что количество инструкций на такт (IPC, inst...

КМЗ заключил крупные контракты на импортозамещение с применением аддитивных технологий Кингисеппский машиностроительный завод заключил контракты на сумму свыше ста миллионов рублей с частными предприятиями на производство комплектующих для оборудования ушедших с российского рынка западных компаний. В производстве литейных форм завод использует 3D-принтеры собс...

Лей Цзунь нашел себе замену – руководивший брендом Redmi Лю Вейбинг пошел на повышение Глава Xiaomi Лей Цзунь (Lei Jun) сегодня сообщил о своем желании сконцентрировать усилия на развитии автомобильного бизнеса Xiaomi. В связи с этим в руководстве компании произошли перестановки. «Xiaomi SU7 проходит комплексные дорожные испытания по всей стране, и...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Компания Qualcomm представила свой новый процессор Snapdragon X Elite Производитель заявляет, что новый продукт происходит своих конкурентов как в производительности, так и в энергоэффективности

Впечатления о GeForce RTX 3060 спустя два года или почему моя следующая видеокарта будет от AMD Рассказываю про особенности видеокарты производства Palit, а также про впечатление от новых технологий NVIDIA.

Apple производит изменения в своих магазинах, готовясь к анонсу новых продуктов Компания Apple, как сообщается, готовится к запуску каких-то новых продуктов. Компания якобы проинформировала розничные команды о том, что они проведут обновление физических магазинов в дополнение к проведению брифингов для СМИ.    В частности, вносятся измен...

«Москвич» уже воскресили, на очереди — «Запорожец»? Машины перезапущенного завода «Коммунар» будут востребованы в России, считают в Совфеде После исхода из России европейских брендов умами чиновников и парламентариев завладела идея воскрешения старых советских автобрендов. Лучше всего получилось с «Москвичом» — эти машины уже выпускают. В Минпромторге ранее пообещали новую «Волгу&raq...

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

На калининградском «Автоторе» могут запустить производство кроссоверов Jetour О том, что принадлежащий Chery бренд Jetour собирается запустить сборку своих автомобилей в России, заявил генеральный директор Jetour Auto Джек Чэнь примерно 10 дней назад. А сейчас появились новые подробности на этот счет. Jetour Dashing. Изображение: Jetour Как сооб...

Только Apple успела впервые в истории возглавить рынок смартфонов, как ей пророчат огромный спад продаж iPhone Компания Apple по итогам 2023 года впервые за всю историю обошла Samsung и стала лидером всего рынка смартфонов. Несмотря на такой успех, ожидается, что по итогам текущего года продажи iPhone существенно снизятся.  Аналитик Минг-Чи Куо сообщает, что Apple уже сокр...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

ARM-процессоры заинтересовали большее число производителей: у RISC-V проблемы Благодаря своей энергоэффективности и производительности архитектура ARM становится все более популярной в мире разработки микросхем такими крупными технологическими компаниями, как Apple. Так, и AMD, и Nvidia, признали преимущества архитектуры и осваивают производство проце...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

«Прорыв в заказах». Great Wall собрала уже 20 тысяч заказов на Tank 500 Hi4-T, который будет конкурировать в Китае с новейшим Land Cruiser Prado 250 Great Wall собрала уже 20 тыс. заказов на покупку новейшей версии внедорожника Tank 500 – гибридной. По этому поводу компания даже опубликовала специальную картинку, надпись на которой гласит «Прорыв в заказах». С одной стороны, 20 тыс. заказов, да ещ...

Три видеокарты серии NVIDIA GeForce RTX 40 могут получить новые версии графических процессоров Без изменений в спецификациях.

Завтра, 9 января, возобновится производство Lada Vesta, Niva и Granta Перед Новым годом АвтоВАЗ ушел на новогодние каникулы – производство автомобилей приостановили. А завтра в 7 утра оно возобновится, об этом сообщает паблик Avtograd News. «Завтра, 9 января 2024 года, вновь оживут сборочные конвейеры ВАЗа. Но уже сегодня мно...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Всё потому, что ни AMD, ни Nvidia не выпускают новых бюджетных видеокарт. ASRock представила новинку в виде Radeon RX 550 Low Profile 4GB В сегменте очень дешёвых видеокарт уже давно нет ничего нового. А так как такие продукты всё равно нужны, на рынке остаются очень старые адаптеры. И порой появляются даже новые версии. К примеру, ASRock представила новую модель — Radeon RX 550 Low Profile 4GB.&nbs...

Объявлены планы «Автотора» по выпуску китайских машин На текущий момент завод «Автотора» в Калининграде производит автомобили китайских марок BAIC, Kaiyi и SWM. Завод «Автотор» в этом году планирует выпустить от 55 тыс. до 70 тыс. автомобилей. До весны прошлого года на «Автоторе» велась ...

Nvidia в четвёртый раз изменит пожароопасный разъём питания 12VHPWR? Новый может дебютировать на видеокартах GeForce RTX 50 Компания Nvidia собирается в очередной раз изменить разъём питания 12VHPWR. Новая итерация появится на видеокартах GeForce RTX 50.  фото: Shiftyeyes67k / Reddit Это будет уже четвёртое изменение разъёма за три года, которые он присутствует на рынке. При этом ...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Подкаст Лайфхакера: 6 открытий, которые были сделаны непрофессионалами Иногда и любители вносят существенный вклад в науку.

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Азербайджанская СФЭС примет участие в 10-й годовщине инициативы «Один пояс – один путь»   Cолнечная фотоэлектрическая станция 308MWp Area 60, первая и самая крупная в Азербайджане солнечная фотоэлектрическая станция для коммунальных предприятий, официально начала свою работу, используя разработанное компанией Sungrow решение для коммунальных предприятий по...

«Возможно, что в следующем году мы выйдем с новым брендом», — глава АвтоВАЗа о новом модельном ряде Президент АвтоВАЗа Максим Соколов заявил, что компания может выйти на российский рынок с новым брендом. Он добавил, что завод в Санкт-Петербурге продолжает работать. «Насколько мне известно, завод в Санкт-Петербурге работает. И даже в новогодние праздники будет ра...

Apple не обновляла этот продукт три года. Новый iPad mini без изменений в дизайне ожидается в конце года Компания Apple обновила планшеты iPad Pro и Air, перевыпустила базовый iPad с меньшей ценой и теперь готовится выпустить новый iPad mini. Но ждать придётся минимум до конца года.  Как сообщается, новый iPad mini ожидается в конце текущего года, хотя выход может бы...

Кейс: использование 3D-принтера Volgobot А4 PRO в ООО «ШЕВРЕТ» Volgobot продолжает активно сотрудничать с организациями и предприятиями, и сегодня мы хотим рассказать еще об одном из наших клиентов – ООО «Шеврет».Познакомимся с деятельностью предприятия ближе.ООО «Производственное объединение «Шеврет» – бывший Волгоградский кожевенный з...

Из облачного хранилища Google Drive (Google Диск) исчезли файлы множества пользователей, проводится расследование Пользователи облачного хранилища  Google Drive (Google Диск) сталкиваются с проблемами исчезновения файлов. Судя по многочисленным жалобам в сети, файлы полностью исчезли из облачного сервиса Google. Если точнее, то пользователи сообщают о потере доступа к файлам ...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Не 7000, а 9000 машин на одном судне. SAIC обогнала BYD, заказав флот из 14 гигантских ролкеров Китайские автопроизводители нуждаются в новых судах, чтобы удовлетворить растущий спрос на автомобили во всем мире. По состоянию на ноябрь прошлого года китайским судоходным компаниям принадлежало всего 40 судов типа ро-ро (ролкер, судно для перевозки грузов на кол...

Microsoft: четыре эксклюзивные игры Xbox выйдут на PlayStation 5 и Nintendo Switch В официальном подкасте Xbox генеральный директор Microsoft Gaming Фил Спенсер подтвердил, что некоторые эксклюзивные игры Xbox появятся на консолях PlayStation 5 и Nintendo Switch. Это связано с изменением стратегии игрового подразделения Microsoft, которое теперь стремится...

Совершенно новый тип памяти, который может появиться на видеокартах? Hynix работает над памятью, которая будет быстрой, но не такой дорогой, как HBM Компания Hynix работает над новым типом памяти, который можно будет использовать для видеокарт и мобильных устройств.  Названия у памяти пока нет, но можно сказать, что это нечто среднее между HBM и DRAM, хотя первая фактически является своеобразным вариантом втор...

Intel идёт по пути Apple. Компания показала процессор Lunar Lake с собственной оперативной памятью на подложке Компания Intel на CES 2024 не только представила остатки линейки процессоров Raptor Lake Refresh, но и показала совершенно новый CPU линейки Lunar Lake.  Эти процессоры появятся в текущем году, вероятно, в самом его конце, и в целом придут на смену Meteor Lake. Но...

GeForce RTX 4090 уже полтора года, а они всё равно продолжают гореть. Сервис NorthridgeFix ремонтирует по 200 штук в месяц Видеокарта GeForce RTX 4090 на рынке уже полтора года, а основная её проблема до сих пор актуальна. Как сообщается, опасность оплавления разъёма питания никуда не делась, и она всё ещё массовая.  Сервисная мастерская NorthridgeFix утверждает, что до сих пор каждый...

Пользователи будут автоматически получать больше скидок, а разработчики — привлекать новых клиентов. Apple тестирует функцию Contingent Pricing для App Store Компания Apple представила новую функцию своего магазина приложений App Store, которая позволит пользователям получать больше скидок, а разработчикам — привлекать больше клиентов.  Называется функция Contingent Pricing. Её суть весьма проста. Благодаря этой ...

Компания Intel может выпустить новую линейку процессоров Bartlett Lake-S для LGA 1700 Это должна быть бюджетная серия для геймеров и энтузиастов, сообщает один из популярных англоязычных блогеров

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

«Убили двух зайцев», — глава АвтоВАЗа назвал истинные причины переноса производства Lada Largus в Ижевск Перенеся производство Lada Largus из Тольятти в Ижевск, АвтоВАЗ «убил двух зайцев», о чем заявил президент компании Максим Соколов. Это позволит перезапуститься заводу в столице Удмуртии и освободить часть конвейера на главной площадке под сборку новой модел...

Samsung Galaxy A55 разочаровал своей производительностью На прошлой неделе в сети появились первые результаты теста смартфона Galaxy A55 в Geekbench 6, но это были тесты, запущенные не на самом устройстве Galaxy A55, а на некой тестовой инженерной платформе. Но теперь ситуация изменилась, так как прототип Galaxy A55 тоже протестир...

Теперь Intel копирует подход AMD? Для сокета LGA1700 выйдут процессоры Bartlett Lake, которые не принесут ничего нового, но будут доступными Похоже, компания Intel может ещё немного поэксплуатировать платформу LGA1700, прежде чем она уйдёт на пенсию. Согласно свежим данным, для этой платформы выйдет ещё одна новая линейка процессоров.   Линейка называется Bartlett Lake. Это не будет какое-то полностью ...

Китайская видеокарта теперь способна обойти даже GeForce GTX 1650. MTT S80 со свежим драйвером намного быстрее, чем раньше Китайская компания Moore Threads после выпуска своей видеокарты MTT S80 активно улучшала свой драйвер. И, как и в случае с видеокартами Intel Arc, это дало весьма заметные плоды. Новые тесты показывают, что S80 существенно быстрее, чем была на момент выхода.  Напо...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

В NASA анонсировали запуск посадочного модуля Nova-C на Луну Сотрудничество NASA с частными космическими компаниями продолжает способствовать освоению космоса: в ближайшее время будет произведен запуск посадочного модуля Intuitive Machines Nova-C на ракете SpaceX Falcon 9.

Российские чиновники смогут пересесть на представительскую «Ладу». Lada Aura будет максимально отвечать критериям госзакупок Lada Aura закупят для российских чиновников Компания АвтоВАЗ заявила, что её представительский автомобиль Lada Aura будет максимально отвечать критериям госзакупок, поэтому именно этот автомобиль может отлично подойти для российских чиновников.  Безусловно, все мо...

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Dell может представить новый ноутбук XPS-16 с процессором AMD Dell может начать оснащать будущие ноутбуки XPS 16 процессорами AMD. Это будет первый случай с 2009 года, когда Dell выбрала AMD для одного из вариантов этой линейки ноутбуков. Это следует из утечки дорожной карты, в которой компания подробно описывает свои планы в отношении...

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

MediaTek Dimensity 8300 Ultra оказался мощнее более дорогих конкурентов Компания MediaTek делает существенные шаги в разработке своих флагманских процессоров и моделей среднего класса для смартфонов, что не может не радовать, ведь отсутствие конкуренции может погубить рынок в целом. Например, процессорв DImensity 9300 выглядит впечатляющим решен...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Крупнейший интернет-магазин готовит свой «ответ» Android По слухам, компания Amazon собирается распрощаться со своей многолетней практикой использования адаптированных версий ОС Android во всем спектре своих продуктов. По сообщениям, готовится новая операционная система, получившая предварительное название «Vega», что свидетельств...

3DVision в гостях у ONSINT! Реклама. OOO "3Д Вижн", ИНН: 7802253640, erid: 2VfnxxsGB3EКоманда 3DVision недавно посетила ONSINT – ведущего производителя промышленных 3D-принтеров в нашей стране. Цель визита была проста: познакомиться с деятельностью компании, узнать больше о ее продукции и пообщаться с ...

Выпущена первая отечественная серийная газовая турбина мощностью 170 МВт Российская энергомашиностроительная компания «Силовые машины» завершила изготовление первой серийной газовой турбины большой мощности ГТЭ-170 в комплекте с генератором и котлом-утилизатором, она была заказана для Нижнекамской ТЭЦ. «Силовые машины»...

Rheinmetall получил заказ на поставку артиллерийских ракет для НАТО на сумму более €300 млн Немецкий оборонный концерн Rheinmetall заключил крупный контракт на поставку артиллерийских ракет для реактивных систем залпового огня одной из европейских стран-членов НАТО. Поставка будет осуществляться в течение четырех лет, с 2024 по 2027 год. Это один из самых больших з...

TCL объединила глобальных партнеров на GPC 2024 Компания TCL, являющаяся ведущим брендом потребительской электроники и одним из двух крупнейших в мире брендов телевизоров, провела Глобальную конференцию отраслевых партнеров TCL 2024 г. На мероприятие под лозунгом «Объединимся ради великолепия» собралось около 500 бизнес-п...

GEEKOM представит новые модели мини-ПК на выставке CES 2024 Китайский бренд неттопов Geekom объявил о том, что привезет на CES 2024 новые продукты. Среди новинок компании будут мини-ПК с процессорами Ryzen 8000 Hawk Point.

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Обзор продукта «Структура API» и новой функциональности сравнения Open API спецификаций Всем привет!Сегодня мы хотим вам рассказать о нашем продукте «Структура API» и последних изменениях в нём. Данный продукт позволяет решить одну из важнейших задач в управлении API – это получение актуальной и полной структуры API на основании реального трафика. Результат пре...

Вот она — память GDDR7, которую получат видеокарты GeForce RTX 50. Samsung привезла на GTC микросхемы с частотой до 32 ГГц Компания Samsung показала на GTC 2024 свою графическую память GDDR7, которую анонсировала некоторое время назад.  Микросхемы Samsung GDDR7 имеют объём 2 ГБ и рабочее напряжение всего 1,1 В. Samsung говорит, что относительно GDDR6 энергоэффективность повышена на 20...

Компания TCL представила 115-дюймовый дисплей QD-Mini LED и ТВ-систему для отелей на ISE 2024 TCL Electronics, один из ведущих брендов потребительской электроники и второй крупнейший производитель телевизоров в мире, представил свои продукты и интеллектуальные решения, разработанные для Smart Office, Smart Retail и Smart Hotel, на выставке Integrated Systems Europe (...

18-летний хакер, сливший в сеть геймплей GTA 6, может быть заключен под стражу до конца жизни На этом настаивает компания Rockstar.

AMD уступит в поколении Radeon RX 8000, чтобы нанести сильный удар с Radeon RX 9000. Архитектура RDNA 5 будет полностью новой Новое поколение видеокарт AMD останется без флагманских адаптеров. Пока неизвестно, что AMD решила на этот счёт с поколением, которое появится после грядущего, но сообщается, что архитектура RDNA 5, которая будет лежать в основе, является полностью новой.  RDNA 4 ...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

iPad Pro получит стеклянную панель и OLED-матрицу В последнее время компания Apple испытывает трудности с прибылью, поскольку доход от продаж iPad снизился почти на 10 процентов от года к году. Ожидается, что этот тренд сохранится, так как Apple не смогла показать каких-либо существенных инноваций в этом направлении, а план...

В стабильной Windows 11 такого пока нет: Windows 10 получила неожиданное обновление в меню «Пуск» Компания Microsoft выпустила небольшое функциональное обновление для операционной системы Windows 10, на которое мы уже не могли рассчитывать с уверенностью.  Несколько месяцев назад компания Microsoft подтвердила, что Windows 10 больше не будет получать «бо...

NVIDIA прекратила производство видеокарт GTX 16 Сегодня появилась информация о том, что компания NVIDIA официально завершила производство своих графических процессоров серии GeForce GTX 16, последних из линейки GTX для массового рынка. Собственно, ещё в декабре прошлого года появилась информация от инсайдеров о том, что N...

Седаны умерли? Они составляют уже менее 2,5% от продаж Hyundai Hyundai Motor сообщила, что в феврале по всему миру было продано 314 909 автомобилей, что на 4,1% меньше, чем в прошлом году. Из них 47 653 автомобиля были проданы в Южной Корее, что на 26,7% меньше, чем годом ранее. За рубежом было продано 267 256 автомобилей, рост сос...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Минцифры вносит изменения в правила аккредитации ИТ-компаний Ещё больше компаний смогут претендовать на ИТ-аккредитацию, а процесс её подтверждения станет удобнее. Минцифры подготовило соответствующий проект постановления.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

MSI представила новую видеокарту GeForce RTX 4080 SUPER 16G EXPERT Характеристики видеокарты включают тактовую частоту ядра 2610 МГц, которая может быть повышена до 2625 МГц в режиме Extreme Performance

Сборку бестселлера Lada Granta запускают на новом заводе На следующей неделе в Азербайджане стартует производство российских автомобилей Lada Granta методом крупноузловой сборки. Открытие нового сборочного предприятия ожидается в последние дни марта в городе Гянджа. Как сообщил инсайдерский паблик «Нетипичный АвтоВАЗ&ra...

Безопасная параллельная разработка. Istio Как-то в конторе появилась мысль, что надо бы продумать как нам распараллелить работу над одни микриком, так чтобы команды не пересекались друг с другом. Есть некоторые API над которыми работают несколько команд. Каждая работает над своей фичей локально и пишет тесты, а вот ...

Сначала эта малоизвестная европейская фирма выпускала клоны Chery, а теперь обошла Tesla и Volvo на своем домашнем рынке. DR вошла в топ-20 лучших в Италии Компания DR становится крупным игроком на рынке в Европе, превзойдя по продажам MG, Alfa Romeo, Mini, Cupra, Tesla и Volvo на в Италии в прошлом году. DR вошла в двадцатку лучших брендов Италии в 2023 году, продав 32 650 новых автомобилей с долей рынка 2,1%, что соответ...

Gigabyte пересматривает дизайн печатных плат графических процессоров для решения проблем Компания Gigabyte внесла изменения в конструкцию своих видеокарт после того, как обнаружились случаи растрескивания печатных плат.

Ferrari представит сразу три новинки в 2024 году. Что это за модели? В 2024 году компания Ferrari планирует представить три новых автомобиля, согласно опубликованному финансовому отчёту за 2023 год и объявленным планам на 2024 год. Итальянский производитель суперкаров достиг рекордных продаж в 13 663 автомобиля (рост на 3,3% по сравнению...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Google объединил свои AI-продукты под брендом Gemini Google объявила об объединении своих продуктов и функций искусственного интеллекта под новым брендом Gemini. Бывший чатбот Bard получил новое имя, став Gemini, а также компания выпустила отдельное приложение для Android под этим брендом.

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Intel не видит существенных угроз для выручки от новых санкций США против Китая Пока спрос всё равно превышает производственные возможности компании.

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

Что такое удержание сотрудников Удержание сотрудников становится одним из ключевых приоритетов для компаний по всему миру. Это не просто о том, чтобы держать сотрудников в компании как можно дольше; это о создании такой среды, где каждый чувствует себя ценным, вовлечённым и мотивированным продолжать вносит...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Китай наносит ответный удар: Пекин ограничивает экспорт графита — основного материала для аккумуляторов Китай ограничивает экспорт некоторых графитовых продуктов для защиты национальной безопасности, о чем заявило Министерство торговли страны. Китай является крупнейшим в мире производителем и экспортером графита, а также перерабатывает более 90% мирового графита в материа...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Компания «АрктикТекс» увеличит производственные мощности в 3 раза Средства гранта будут направлены на развитие технологий создания электропроводящего текстиля и масштабирование производства гибких нагревательных элементов на территории Нанопарка «Гатчина».

Их делают на заводе в Калининграде: Kaiyi увеличил срок гарантии на свои авто в России до 5 лет Автомобильный бренд Kaiyi, за которым стоит китайская компания Chery, объявил о значительном увеличении гарантийного срока для российского рынка.  Как сообщила пресс-служба, в декабре 2023 года Kaiyi в России изменил гарантийные обязательства на все модели бренда:...

Boeing расширяет свой завод в Хантсвиле, чтобы увеличить производство ракет Patriot Компания Boeing объявила о расширении своего завода в Хантсвилле, штат Алабама, на котором производится ракетный комплекс Patriot PAC-3.

Представлен первый ПК на основе процессоров AMD Ryzen 8000. Планшет Minisforum V3 будет очень большим Несмотря на то, что до официального анонса процессоров Ryzen 8000 ещё явно минимум несколько месяцев, компания Minisforum уже представила первый продукт на основе таких CPU.  Речь о планшете, который пока что называется Minisforum V3, но это вряд ли окончательное ...

Northrop Grumman разработала тяжелый подводный дрон Manta Ray Один из крупнейших подрядчиков Пентагона, военно-промышленная компания Northrop Grumman известна в мире, как производитель ракетного вооружения, систем ПВО, средств электроники, кораблей и авиации. Еще одним направлением ее деятельность уже скоро может стать производство роб...

Процессоры Intel Itanium окончательно можно назвать мёртвыми. Поддержка этих CPU была удалена из ядра Linux Компания Intel прекратила поставки процессоров Itanium ещё два года назад. Но окончательная смерть данного поколения наступила именно сейчас, так как основное ядро Linux больше не поддерживает Itanium (IA-64).  Фото: Intel Как сообщает Phoronix, поддержка была иск...

Minisforum представила мини-ПК с СО из жидкого золота и дискретной видеокартой Новый UH185 Ultra на базе процессора Intel Core Ultra оснастили встроенным экраном, а внутри небольшого HX200G поместилась дискретная видеокарта AMD. Также был показан совсем крошечный ПК EM780

Тюнингованный «Запорожец» продают за 2 млн рублей Россиянин выставил на продажу уникальный автомобиль — тюнингованный «Запорожец» — за сумму в два миллиона рублей. Этот красный седан был произведен в 1986 году и за все время проехал всего 55 тысяч километров. Автомобиль оснащен левосторонни...

Новейшие Core Ultra, да ещё и с пассивным охлаждением. Представлены мини-ПК Zotac Zbox CI671 Nano и CI651 Nano Компания Zotac представила несколько новых мини-ПК. В их числе есть модели Zbox CI671 Nano и CI651 Nano, которые выделяются тем, что это одни из первых на рынке (возможно, вообще первые) пассивные мини-ПК с процессорами Core Ultra.  Две указанные версии отличаются...

Первый в мире складной iPhone может получить дисплей от Samsung Samsung и Apple заключили соглашение о поставке дисплеев для будущих складных устройств компании из Купертино, о чем сообщает gizmochina. Пока неясно, распространяется ли эта сделка на дисплей гибридного устройства или только на складной iPhone. Samsung Display уже пост...

На всю Москву и Санкт-Петербург отгрузили всего 150 новых «Солярисов» «Автомобильный завод АГР» подтвердил, что стартовая партия новых Solaris, которые отгрузили в дилерские центры в Москве и Санкт-Петербурге, включает всего 150 машин. Напомним, изначально завод начал отгружать седаны Solaris HS, которые являются аналогом Hyun...

5 причин, по которым я буду покупать только дешевые процессоры и видеокарты в свой игровой ПК С каждым годом то, куда движется ПК-гейминг и рынок компьютерных комплектующих, разочаровывает все больше. Но есть простой способ избежать больших трат на ПК и разочарования от новых игр.

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Starfield получит обновление с изменением персонажа игрока, улучшенным сканером и другими опциями Вethesda продолжает совершенствовать Starfield, внося в нее как мелкие исправления, так и более серьезные изменения. Обновление появится 6 марта и сначала будет доступно только в Steam в виде бета-версии.

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Стартап Evolution Space открывает центр производства твердотопливных ракетных двигателей в NASA Stennis Space Center Стартап Evolution Space, занимающийся разработкой твердотопливных ракетных двигателей, подписал соглашение о создании производственно-испытательных операций на космическом центре NASA в Стеннисе. 10 октября компания объявила, что достигла соглашения о создании производс...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Hyundai строит первый южнокорейский автомобильный завод на Ближнем Востоке Южнокорейская компания Hyundai Motor Group построит автомобильный завод в Саудовской Аравии совместно с Государственным инвестиционным фондом (PIF), суверенным фондом благосостояния Саудовской Аравии, о чем заявил президент Южной Кореи Юн Сок Ёль. Юн выступал в Эр-Рияде...

Guide sensmart представила на IWA Germany новейшие продукты ночного видения IWA OutdoorClassics 2024, ежегодно проводимая в Нюрнберге, Германия, имеет широкую известность за свой профессионализм и масштабы выставки. Она признана крупнейшей в Европе выставкой тактического, охотничьего и уличного снаряжения. Мероприятие объединяет известные профессион...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Toyota Camry 2025 показали в рекламном ролике от партнёра Toyota USA, но оказалось, что это фанатский рендер Один из партнеров Toyota USA решил использовать в своём рекламном ролике рендер Toyota Camry 2025, созданный дизайнером Theottle. Некоторые зрители предположили, что это может быть тизер будущей Camry, однако позже выяснилось, что это не так. Ролик оперативно удалили из...

Великобритания присоединилась к европейскому консорциуму по производству чипов Великобритания решила не отставать от ЕС, из которого вышла, в производстве чипов. Для этого британским компаниям нужен допуск к развитой производственной и научной базе.

Reuters: Samsung может использовать технологию SK hynix для увеличения производства памяти HBM Ключевая технология, используемая для производства чипов искусственного интеллекта, является причиной того, что крупнейший в мире производитель памяти, корейская компания Samsung Electronics, отстает от конкурентов из своей страны и США

Changan приготовила приятный сюрприз для россиян: компания расширит гарантию на свои машины до 10 лет Changan в скором времени сможет сделать предложение, от которого будет сложно отказаться: как пишет Quto.ru со ссылкой на представителей компании, на машины, продающиеся в России, Changan будет давать гарантию 10 лет или 260 тыс. км. И с этим никто не сможет тягаться, т...

Один из первых ноутбуков с процессором AMD Ryzen 8040: Acer Nitro V 16 Компания Acer представила ноутбук Nitro V 16, оснащенный процессором AMD Ryzen 8040. Будучи одним из первых ноутбуков, оснащенных этим новейшим процессорам обещает приятный опыт геймерам с акцентом на «производительность и длительное время автономной работы».

"Росатом" и DP World будут глобально сотрудничать в области логистики Госкорпорация "Росатом" и компания DP World заключили стратегическое соглашение во время 28-й Конференции по изменению климата COP28 в Дубае. Это партнерство направлено на улучшение международной торговли, особенно между странами БРИКС и их партнерами, и создание беспроблемн...

AMD упакует 32 процессорных ядра в один чиплет. Такими будут уже CPU на архитектуре Zen 6 Несмотря на то, что в Сети ещё достаточно мало информации об архитектуре AMD Zen 5 и продуктах на её основе, сегодня мы получили достаточно подробные данные о CPU на основе Zen 6.  Информация касается в основном серверных CPU, но она всё равно важна, потому как ко...

При покупке процессора Ryzen 8000G нужно быть осторожным. Оказалось, что младшие модели урезаны по количеству линий PCIe 4.0 Компания AMD на днях представила настольные гибридные процессоры Ryzen 8000G, и теперь оказалось, что часть из них хуже других.  Речь не об основных параметрах, вроде количества ядер, а о второстепенных. Напомним, линейка включает четыре модели: Ryzen 7 8700G, Ryz...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

MediaTek представит Dimensity 9400 уже в этом году Если верить информации инсайдеров, новый процессор Dimensity 9400 от MediaTek должен быть анонсирован уже в этом году, и похоже, что MediaTek планирует вести борьбу с Snapdragon 8 Gen 4 в плане производительности своего флагманского процессора нового поколения. Согласно инфо...

Начались отгрузки российских Solaris Завод в Санкт-Петербурге, ранее принадлежавший Hyundai, начал отгружать дилерам автомобили нового бренда. Первым в шоу-румы поступает седан Solaris HS, также известный как Hyundai Solaris. Автомобиль будет доступен с различными силовыми агрегатами в четырёх комплектация...

80 000 автомобилей в год. Chery построит новый завод в Таиланде Нарит Тердстирасукди, генеральный секретарь Совета по продвижению инвестиций Таиланда, заявил, что компания Chery Automobile получила одобрение на строительство завода по производству электромобилей в Районге, Таиланд. Ожидается, что завод будет запущен в 2025 году, а г...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)