Социальные сети Рунета
Среда, 22 мая 2024

Honda и IBM разработают чипы с искусственным интеллектом для автомобилей нового поколения Honda объявил о сотрудничестве с IBM. В рамках сотрудничества две гигантские компании будут разрабатывать чипы с искусственным интеллектом и программное обеспечение для автомобилей следующего поколения.

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

NVIDIA объединилась с Mediatek для создания дебютного ПК-процессора Компании NVIDIA и MediaTek объявили о сотрудничестве по созданию нового процессора для ПК, который станет прямым конкурентом линейки Apple M4.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Нет, Samsung не откажется от помощи AMD при создании собственного GPU. Сотрудничество компаний продолжится Возможно, недавние данные о том, что Samsung хочет отказаться от помощи AMD и создать полностью свой GPU, были несколько неверными.  Инсайдер Revegnus говорит, что корейский гигант действительно хочет создать свой графический процессор, но не без помощи AMD, а, на...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

LuftCar разработает гибридный вездеход-вертолет на водороде LuftCar объявила о сотрудничестве с eFrancisco Motor Corporation в Филиппинах для разработки водородных электрокаров с возможностью вертикального взлета и посадки (eVTOL) на базе филиппинских джипни.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Китайская компания Montage Technologies разрабатывает серверные процессоры на базе Intel Xeon Китайская компания Montage Technologies анонсировала выпуск пятого поколения процессоров Jintide, разработанных на основе Intel Xeon Scalable серии Emerald Rapids

Российские компании начнут выпускать технику на китайских процессорах Российская компания «Норси-Транс» объявила о начале выпуска техники на базе китайских процессоров Loongson.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Arena объявила о сотрудничестве с AMD Компания Arena, разработчик специализированных базовых моделей искусственного интеллекта, объявила о сотрудничестве с компанией AMD по масштабированию развертывания Arena Atlas — первого в мире ИИ-решения для тестирования и оптимизации функциональных узлов на основе новейшей...

Компания GOG объявила о сотрудничестве с облачным игровым сервисом Amazon Luna Это сотрудничество предложит пользователям новые возможности играть в их любимые игры на различных устройствах.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Cognizant продолжила сотрудничество с Pon IT по управлению и оптимизации облачных служб Компания Cognizant объявила о продолжении своих давних отношений с компанией Pon IT, входящей в международный голландский семейный холдинг Pon Holdings. Это сотрудничество позволит компании Cognizant продолжить обеспечивать различные операционные компании Pon IT управляемыми...

Neomorph объявил о сотрудничестве с Novo Nordisk по разработке новых деградаторов белка Компания Neomorph, Inc. объявила о заключении соглашения о сотрудничестве и лицензировании с глобальной медицинской компанией Novo Nordisk с целью выявления, разработки и коммерциализации деградаторов белка (молекулярных клеев). Neomorph — биотехнологическая компания, занима...

Cermaq продлил сотрудничество с Cognizant Компания Cognizant объявила о продлении сотрудничества с Cermaq Group AS — ведущим мировым производителем лосося, способствующим переходу систем на более здоровые и благоприятные для климата продукты. Это продолжение длящихся уже десятилетие отношений между двумя компаниями,...

Acer выпустила ноутбуки Swift Edge 16 и Swift Go 14 на базе AMD Ryzen 8040 Компания Acer объявила о выпуске двух новых ноутбуков, Swift Edge 16 и Swift Go 14, оснащенных процессорами AMD Ryzen 8040.

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Конкурент Xiaomi SU7 — Mona F59 от Xpeng был замечен во время дорожных испытаний Китайская компания Xpeng объявила о запуске нового бренда электромобилей под названием Mona. Первая модель Mona, разработанная в сотрудничестве с Didi, нацелена на средний ценовой сегмент и предложит широкий набор интеллектуальных технологий. По словам Xpeng, продажи Mona мо...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Компания Synopsys объявила о приобретении компании Ansys Данное сотрудничество обосновано стратегически и приведет к значительному увеличению стоимости

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Tiny Corp. объявила о приостановке разработки своего проекта искусственного интеллекта Компания Tiny Corp. объявила о приостановке разработки своего проекта искусственного интеллекта под названием TinyBox на базе графического процессора AMD Radeon RX 7900 XTX

Компания SK hynix объявила о сотрудничестве с TSMC в производстве HBM следующего поколения Обе компании сосредоточатся на улучшении производительности базовой матрицы, расположенной в самом низу пакета HBM

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Citeck и SL Soft объявили о сотрудничестве Российские ИТ-компании Citeck и SL Soft сообщили о заключении партнерского соглашения. Его цель — расширение предложений по импортонезависимым программным продуктам. Сотрудничество подразумевает совместное маркетинговое продвижение, внедрение и поддержку проектов, включающих...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Компания Cognizant выбрана Alm. Brand Group для автоматизации предоставления услуг Компания Cognizant объявила о новом сотрудничестве с Alm. Brand Group, ведущей страховой компанией Дании. Компания Cognizant начнет выполнять ряд бизнес-процессов, которые раньше выполнялись другими сторонними поставщиками услуг компании Alm. Brand Group. Новые отношения буд...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Acer представила игровые ноутбуки Nitro 14 и Nitro 16 на базе процессоров AMD Ryzen 8040 Компания Acer объявила о выпуске новых игровых ноутбуков Nitro 14 и Nitro 16 на базе процессоров AMD Ryzen 8040 Series с Ryzen AI

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Tenstorrent и MosChip Technologies объявили о сотрудничестве в области RISC-V решений Компании Tenstorrent и MosChip Technologies объявили о сотрудничестве в области разработки передовых RISC-V решений

Компания Lexar объявила о сотрудничестве с Silicon Motion Компания Lexar объявила о сотрудничестве с Silicon Motion для создания портативных твердотельных накопителей

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

SK Telecom и Deutsche Telekom будут помогать создавать языковые модели на базе ИИ телекоммуникационным компаниям SK Telecom (SKM) и Deutsche Telekom объявили о подписании соглашения о намерениях по совместной разработке решения, которое позволит глобальным телекоммуникационным компаниям легко и быстро разрабатывать генеративные модели искусственного интеллекта. SKT и Deutsche Tele...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

MediaTek представила первую волну продуктов Wi-Fi CERTIFIED 7 Компания MediaTek представила первую волну продуктов Wi-Fi CERTIFIED 7, разработанных в сотрудничестве с Wi-Fi Alliance

911 смартфонов. Первый Honor, созданный при участии Porsche, выйдет в январе 2024 Компания Honor недавно объявила о сотрудничестве с Porsche Design для совместного создания премиального смартфона следующего поколения. Ожидается, что первый складной смартфона Honor, созданный при участии Porsche, будет выпущен в январе следующего года. Внутри компани...

Xiaomi вскоре выпустит ноутбук на базе процессора Intel Meteor Lake Компания Xiaomi работает над новым ноутбуком, в основе которого будет лежать неанонсированный процессор Intel Meteor Lake.

Новую российскую компьютерную игру озвучат актеры театра Театр имени Ермоловой и компания 1C Game Studios объявили о сотрудничестве над новым проектом — игрой «Война Миров: Сибирь». В рамках этого сотрудничества артисты театра не только озвучат голоса в игре, но и сыграют роли, став непосредственными исполнителями персонажей.

Xiaomi 14 Ultra официально представлен – огромная камера, Snapdragon 8 Gen 3 и IP68 Xiaomi официально анонсировала флагманский смартфон Xiaomi 14 Ultra, камера которого была разработана в сотрудничестве с немецкой компанией Leica. Дизайн и дисплейXiaomi 14 Ultra, по сути, представляет собой тщательную доработку своего предшественника. Сзади снова…

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Процессор Snapdragon 8 Gen 4 с ядрами Oryon появится осенью 2024 года Компания Qualcomm объявила о сроках появления своего следующего процессора для смартфонов, который, как мы уже знаем, будет оснащен совершенно новыми технологиями, которые должны кардинально изменить производительность наших смартфонов.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Qualcomm объявила о выпуске процессора Snapdragon X Elite На пресс-конференции Qualcomm было объявлено о выпуске процессора Snapdragon X Elite, разработанного для планшетов, ноутбуков и ультратонких лэптопов следующего поколения

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Landata и QTECH стали партнерами Компания Landata объявила о начале сотрудничества с QTECH, российским разработчиком и производителем телекоммуникационного и IT-оборудования.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

Cognizant и ISS продолжают модернизацию финансовой организации ISS Компания Cognizant объявила о продлении на пять лет сотрудничества с ISS, ведущей компанией по управлению восприятием рабочего пространства и объектами недвижимости. Продление сотрудничества позволит компании Cognizant и дальше помогать компании ISS повышать эффективность и ...

Процессор Snapdragon 8 Gen 3 протестировали на базе ASUS ROG Phone 8 Ultimate Если верить информации крупных инсайдеров, компания Qualcomm объявит о релизе своего нового флагманского процессора Snapdragon 8 Gen 3 уже через месяц. И, что вполне ожидаемо, прямо сейчас процессор проходит различного рода тесты в бенчмарках на различных платформах, так как...

На CIIE 2023 подписан договор Shanghai Electric с Johnson Controls о создании лаборатории Shanghai Electric объявила о подписании на международной выставке China International Import Expo (CIIE) 2023 в Китае договора о стратегическом сотрудничестве по созданию совместной лаборатории «Carbon & Digital» (цифровые технологии для снижения углеродного следа) с ком...

OpenAI планирует разработать собственную поисковую систему на базе Bing Инсайдеры The Information поделились информацией о сотрудничестве Microsoft, создателя Bing, и OpenAI

Samsung объявляет о сотрудничестве с Arm для разработки ядер Cortex-X следующего поколения Компания Samsung объявила, что будущие ядра процессоров Arm Cortex-X будут использовать 2-нм узел GAAFET от Samsung Foundry.

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

mPaaS Ant Group начинает сотрудничество с Huawei для разработки приложений на HarmonyOS Недавно компания Huawei также объявила о планах поэтапного прекращения поддержки приложений Android в Китае.

Рассекречен будущий смартфон HMD Pulse Pro Компания HMD недавно объявила о выпуске телефона Boring в сотрудничестве с Heineken и Bodega, а сейчас выяснилось, что в разработке находится смартфон HMD Pulse Pro.

Honor выпустила Magic 6 RSR Porsche Design на глобальном рынке В марте этого года компания Honor представила в Китае свой новый флагманский смартфон Magic 6 Porsche Design. Теперь новое устройство стало доступно и на международном рынке. ОсобенностиHonor Magic 6 RSR Porsche Design был разработан в сотрудничестве с Porsche. Камера…

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

Ещё одна Nokia, которую легко починить самостоятельно. Представлен Nokia G22 Peach Edition Компания HMD Global представила Nokia G22 Peach Edition, который отличается от ранее выпущенного смартфона только цветом. В Великобритании смартфон предлагается за 130 фунтов стерлингов. Информация из базы данных тестового приложения GeekBench показывает, что HMD Global...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

«Группа Астра» и Innostage подписали соглашение о стратегическом сотрудничестве Разработчик системного и прикладного ПО «Группа Астра» и интегратор сервисов и решений в области ИБ и ИТ компания Innostage объявили о партнерстве.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

EK предлагает термоэлектрический водоблок с поддержкой процессоров Intel Core 14-го поколения Компания EK объявила о том, что EK-Quantum Delta² TEC теперь поддерживает процессоры Intel Core 14-го поколения

Cognizant совместно с Microsoft представили ИИ-ассистента для инноваций сотрудников Компания Cognizant объявила о совместной работе с Microsoft над запуском ассистента Innovation Assistant, средства на базе генеративного ИИ, созданного на основе службы Microsoft Azure OpenAI, чтобы усилить внутреннюю программу инноваций Cognizant, Bluebolt. Innovation Assis...

Vivo представит сразу три смартфона 13 мая Если верить авторитетным источникам, компания Vivo объявит официальный релиз смартфонов X100s, X100s Pro и X100 Ultra уже совсем скоро, 13 мая, и многие пользователи со всего мира очень ждут данного события, потому что устройства действительно интересные. Более того, примерн...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Microsoft DirectSR вскоре появится во множестве игр Месяц назад на конференции разработчиков Game Developers Conference 2024 было представлено новое технологическое решение под названием Microsoft DirectSR. Это своеобразная попытка упростить реализацию методов масштабирования изображения, которая была разработана в сотрудниче...

«Тяжелый люкс»: Redmi выпустит новый смартфон совместно с Lamborghini В мире технологий и роскоши готовится крупное событие: компания Redmi объявила о сотрудничестве с легендарным автомобильным брендом Lamborghini.

Что в архитектуре тебе моей: создан гибридный процессор SG2000 с ядрами на базе RISC-V и ARM. Что за чип? Китайские производители чипов в последнее время стали ещё активнее, чем раньше. Например, компания Sophgo разработала процессор SG2000 и его модификацию SG2002. Внутри них — ядра с архитектурами RISC-V и ARM. Компания позиционирует чип как элемент для IoT-систем. Поддерживаю...

В России силами МИФИ и 3DLAM появится лаборатория 3D-печати металлом Национальный исследовательский ядерный университет «МИФИ» (НИЯУ МИФИ) и компания 3DLAM объявили о сотрудничестве в создании лаборатории в области 3D-печати металлом. Она будет базироваться в Дизайн-центре микроэлектроники полного цикла MEPHIUS.

24 апреля будут показаны процессоры Qualcomm Snapdragon X Lenovo, HP и другие компании представят ноутбуки на базе Oryon

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

ASUS выпустит Zenfone 11 Ultra уже 14 марта Сегодня компания ASUS решила официально объявить о глобальном релизе смартфона Zenfone 11 Ultra — компания в социальных сетях сообщила, что смартфон представят общественности 14 марта текущего года. Представители компании также упомянули, что этот смартфон будет иметь интегр...

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Помимо процессора для ПК NVIDIA и MediaTek могут работать над SoC для портативных игровых консолей Компаниям могут расширить своё сотрудничество на разные сегменты.

Samsung Exynos 2600 получит новую графическую подсистему Если верить информации достаточно надёжного инсайдера, компания Samsung планирует полностью заменить графический процессор Xclipse, разработанный совместно с компанией AMD, на собственное графическое решение. Но, естественно, это произойдёт не сразу, потому что своё решение ...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Acer выпустила игровой ноутбук Nitro V 16 на базе новых процессоров AMD Ryzen серии 8040 Компания Acer объявила о выпуске нового игрового ноутбука Nitro V 16 (ANV16-41), предназначенного для казуальных игроков, которым требуется сбалансированное устройство с современными функциями и не только.

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Представлено уникальное портативное зарядное устройство OnePlus Sharge Pouch Компания OnePlus представила новое портативное зарядное устройство, разработанное в сотрудничестве с SHARGE.

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Lenovo готовит Yoga Slim 7 на базе процессора Qualcomm Snapdragon X Компания Lenovo финализирует разработку конвертера Yoga Slim 7 14 2024, главной особенностью которого станет то, что он построен на процессоре Qualcomm Snapdragon X.

GEEKOM представит новые модели мини-ПК на выставке CES 2024 Китайский бренд неттопов Geekom объявил о том, что привезет на CES 2024 новые продукты. Среди новинок компании будут мини-ПК с процессорами Ryzen 8000 Hawk Point.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Samsung Galaxy Book 4 Edge протестировали в бенчмарке Сегодня в сети появилась информация о том, что гаджет Samsung Galaxy Book 4 Edge с процессором Qualcomm Snapdragon X Elite был протестирован в синтетическом тесте Geekbench 6, и, согласно предварительным данным, этот ноутбук на операционной системе Windows будет иметь 14-дюй...

Человекоподобный робот Apollo начинает работу в компании Mercedes Подобно сотрудничеству BMW с Figure AI, Mercedes Benz объявил о партнерстве со стартапом Apptronik, специализирующимся на человекоподобных роботах. Apollo от Apptronik начнет работать в Mercedes

Honor Magic V2 RSR: первый взгляд на складной смартфон, разработанный совместно с Porsche Design Пользователи азиатского рынка получили специальную лимитированную версию складного смартфона Honor Magic V2, дизайн которой был разработан совместно с компанией Porsche Design. О старте продаж Honor Magic V2 RSR (такое название имеет продукт) объявили в самом начале года на ...

Вышло обновление Windows 11, решающее проблему с замедлением системы на ПК с процессорами AMD Компания Microsoft выпустила обновление Windows 11 KB5035942, которое рекомендовано владельцам ПК на базе процессоров AMD.

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

ETnews: Samsung готовит к выпуску флагманские 2-нм процессоры Мало того, компании Qualcomm и Samsung намерены укрепить свое сотрудничество в разработке новых мобильных чипов.

В случае запуска роботакси Tesla в Китае компания Baidu рассчитывает на сотрудничество Взаимодействие между компаниями не будет ограничиваться доступом к картам местности.

На конгрессе ERS 2023 был представлен одноразовый видеобронхоскоп Scivita Medical На Международном конгрессе Европейского респираторного общества (ERS) 2023, который проходит в Милане (Италия) с 9 по 13 сентября 2023 года, компания Scivita Medical представила одноразовый видеобронхоскоп (стенд D.03A). Разработанный компанией Scvita Medical прибор включает...

Представлен Honor Magic 6 RSR Porsche Design – 24 ГБ ОЗУ, 1 ТБ ПЗУ, сверхпрочное стекло, 5600 мАч и камера на 180 Мп На мероприятии в Китае компания Honor анонсировала флагманский смартфон Honor Magic 6 RSR Porsche Design, дизайн которого разработан в сотрудничестве с Porsche. Honor Magic 6 RSR Porsche Design обладает элементами дизайна, вдохновленными Porsche Taycan. Задняя панель и…

Разработан превращающий «тупые» бомбы в точные крылатые ракеты комплект Компании Boeing и Kratos Defense & Security Solutions объединяют усилия для расширения возможностей 228-килограммовых авиабомб, превращая их в миниатюрные высокоточные крылатые ракеты. Это сотрудничество предполагает разработку комплекта с реактивной турбиной, который мо...

Qualcomm создаёт мощный чип для шлемов дополненной реальности На текущий момент шлем дополненной реальности Apple Vision Pro оборудован сразу двумя процессорами M2 и R1, которые были произведены на 4-нм процессе компании TSMC и обладают достаточно приличной производительностью. Но сегодня появились новости о том, что компания Qualcomm ...

Microsoft готовит ноутбуки на базе ИИ Если верить информации иностранных журналистов, компания Microsoft намерена провести фирменное мероприятие в Сиэтле уже 20 мая, чтобы подробно изложить своё видение «AI PC» (речь про компьютер на базе искусственного интеллекта). Это событие состоится за день до начала «Конфе...

Lenovo выпустила в Китае новый графический процессор Radeon RX 6600 LE. Компания Lenovo представила новый графический процессор Radeon RX 6600 LE, разработанный собственными силами. Новинка будет использоваться в настольных ПК Lenovo GeekPro 2023 и будет конкурировать с Xbox Series по цене.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Vanar и Viva Games решили совершить «прорыв» в Web3-играх Vanar объявила о партнерстве с Viva Games, игровой компанией с более чем 700 миллионами загрузок. Это сотрудничество призвано «преодолеть разрыв между традиционными играми и миром Web3».

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Tecno привезла в Россию металлические ноутбуки T1 с Intel и AMD на выбор Tecno объявил о старте продаж обновлённых ноутбуков Megabook T1 в России, которые теперь базируются на более современных процессорах. Это сравнительно лёгкие металлические ноутбуки для работы с мощными процессорами и тремя вариантами исполнения: диагональю 15,6 дюймов на баз...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

 Microsoft инвестирует 1,5 млрд долларов в G42 из Абу-Даби для ускорения развития ИИ G42, ведущая технологическая холдинговая компания со штаб-квартирой в ОАЭ, специализирующаяся на искусственном интеллекте (ИИ), и Microsoft объявили о стратегических инвестициях Microsoft в G42 в размере 1,5 млрд долларов. Инвестиции укрепят сотрудничество двух компаний по в...

Intel представила Core Ultra - процессоры с ИИ-ускорителем и мощной графикой для тонких ноутбуков Компания Intel растянула анонс мобильных процессоров нового поколения — Meteor Lake — на несколько мероприятий, но сегодня нам наконец объявили все характеристики и сроки выхода новинок.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Huawei представила IDS на 26-м Всемирном энергетическом конгрессе Компания Huawei представляет свое инновационное интеллектуальное решение для энергораспределения (IDS) на 26-м Всемирном энергетическом конгрессе в Роттердаме. IDS, разработанное в сотрудничестве с партнерами по экосистеме, направлено на решение самых насущных проблем электр...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Intel сняла с производства процессоры 13 поколения с разблокированным множителем Компания Intel объявила о снятии с производства разблокированных "коробочных" настольных процессоров 13-го поколения Raptor Lake-S

В Госдепе заявили, что санкции против судоходных компаний имеют целью «пресечение» сотрудничества РФ и КНДР Алло, вы вообще кто такие, чтобы пресекать сотрудничество двух реально суверенных государств?

Huawei представила уникальный ноутбук Qingyun L540 Сегодня китайская компания Huawei официально представила свои новые ноутбуки под названием Qingyun L540 для китайского внутреннего рынка, а главная их особенность в том, что они оснащены 5-нм чипом Kirin 9006C. Ноутбуки Huawei Qingyun L540 являются продолжением серии L410, к...

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Redmi объявила о сотрудничестве с Lamborghini Компания Redmi объявила о коллаборации с люксовым автомобильным брендом Lamborghini, пообещав сообщить подробности уже сегодня на презентации линейки Redmi K70. Очевидно, речь идёт о выпуске ограниченной версии флагманского смартфона Redmi K70 Pro, который получит экскл...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Hyundai, Kia и Baidu подписали соглашение о сотрудничестве в области интеллектуальных технологий Компании Hyundai и Kia объявили о намерении использовать технологии интеллектуальных облачных вычислений Baidu, чтобы ориентироваться в изменяющихся правилах обработки данных, принятых в Пекине.

Hyundai, Kia и Baidu подписали соглашение о сотрудничестве в области интеллектуальных технологий Компании Hyundai и Kia объявили о намерении использовать технологии интеллектуальных облачных вычислений Baidu, чтобы ориентироваться в изменяющихся правилах обработки данных, принятых в Пекине.

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Samsung анонсировала процессор Exynos 2400 – на AMD RDNA3 и на 70% быстрее Exynos 2200 На мероприятии System LSI Tech Day 2023 компания Samsung рассказала о предстоящей однокристальной системе Exynos 2400. Реальных характеристик производитель не открыл, а вместо этого больше сосредоточился на своём графическом процессоре Xclipse 940 на базе архитектуры RDNA3. ...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

BYD и Shell расширяют сотрудничество: компании построят сотни зарядных станций в Бразилии Компания BYD заключила стратегическое партнерство с Raizen Power, бразильской энергетической компанией, принадлежащей Shell, и планирует построить в 8 крупных городах Бразилии сотни зарядных станций, чтобы предоставит местным пользователям удобные и эффективные услуги з...

Автомобили Voyah, которые официально продаются в России, станут умнее благодаря Tencent Компания Voyah подписала соглашение о стратегическом сотрудничестве с китайским технологическим гигантом Tencent. Они будут развиваться в различных технологических областях, включая цифровой маркетинг, навигационные карты, облачные сервисы, интеллектуальное производство...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Google планирует продавать запасные части для Pixel в течение 7 лет Когда компания Google объявила о том, что Pixel 8 и Pixel 8 Pro будут получать обновления ОС Android в течение семи лет, это означало значительное улучшение долговечности телефонов на базе Google, которые всегда отставали от iPhone

Московский производитель разработал линейку промышленных мини-компьютеров Компания «АТБ Электроника» презентовала новую линейку компактных компьютеров для промышленных и инфраструктурных объектов. Об этом сообщил Министр Правительства Москвы, руководитель столичного Департамента инвестиционной и промышленной политики Владислав Овч...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Самые кошачьи ноутбуки? Colorful готовит мобильные ПК MEOW с процессорами AMD Компания Colorful готовится выпустить ноутбуки семейства MEOW с процессорами AMD.  Полноценный анонс запланирован на 23 февраля, но ноутбуки уже проходят сертификацию. Известно о двух моделях: MEOW R15 24 и MEOW R16 24, оснащённых экранами диагональю 15 и 16 дюймо...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Российская IT-компания разработала аналог зарубежной MES-системы на базе «1С» Данная MES-система для группы компаний ITMS на базе платформы "1С" полностью заменяет зарубежный аналог.

Уникальные аксессуары для серии Samsung Galaxy S24 в ... Недавно компания Samsung объявила о сотрудничестве с тремя европейскими художниками по созданию уникальной линейки аксессуаров для серии Galaxy S24.

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Honor объявила об эксклюзивном партнёрстве с Gameloft Компания Honor объявила о сотрудничестве с французским разработчиком мобильных игр Gameloft. Целью данного тандема станет улучшение игровых впечатлений для пользователей смартфона Honor Porsche Design Magic V2 RSR. В результате партнёрства Honor с Gameloft владельцы Honor…

«ВейвАксесс» присоединяется к экосистеме разработчиков на ОС Аврора Компания «ВейвАксесс», разработчик ПО на заказ, объявила о заключении соглашения с компанией «Открытая мобильная платформа». По его условиям, «ВейвАксесс» стала партнером по разработке мобильных приложений на базе доверенной операционной системы Аврора для корпоративного рын...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

Беларусь представила свой первый трактор-беспилотник Минский тракторный завод (МТЗ) представил первый белорусский трактор-беспилотник BELARUS 3523i, разработанный в сотрудничестве с Брестским государственным техническим университетом и компанией «Промышленная робототехника и цифровой инжиниринг».

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Lenovo выпускает новый мощный ПК на базе Android Новая версия ПК от компании Lenovo будет работать на процессоре Intel Core

Microsoft DirectML получил поддержку NPU от Intel Microsoft совместно с Intel работают над добавлением поддержки нейронных процессоров (Neural Processing Unit, NPU) в API DirectML. Об этом сообщается в блоге Windows Developer. NPU представляет собой новое направление в мире аппаратных решений, специально разработанное для ...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Израиль внедрил программу массового распознавания лиц в секторе Газа Израиль запустил программу распознавания лиц в секторе Газа, о чем сообщает The New York Times. Программа, разработанная в ответ на нападение 7 октября, направлена на выявление лиц, связанных с определенными группами. Используя Google Photos и разработанный на заказ инструме...

Использование On-Logon триггеров в СУБД Postgres Pro Enterprise Триггеры On-Logon хорошо знакомы разработчикам приложений для СУБД Oracle Database.On-Logon триггер является одним из видов триггеров событий базы данных, и автоматически срабатывает при подключении пользователя к БД.В СУБД Postgres Pro Enterprise, еще в версии 14, среди про...

ГБОУ «Воробьевы горы» получил статус «Центр сертификации ЗАО «Топ Системы» Московский образовательный комплекс «Воробьевы горы» и компания «Топ Системы» расширяют сотрудничество в рамках программы «Факультет САПР».На базе Московского дворца пионеров организован «Центр сертификации ЗАО «Топ Системы». Задача Центра – осуществлять оценку уровня владен...

Новый процессор из Китая в 4 раза быстрее предшественника: SW26010 Pro с 13,8 Тфлопс Ранее в этом году в Национальном суперкомпьютерном центре в Чанше (Китай, провинция Хунань) запустили новый суперкомпьютер, который собран на базе процессора Sunway SW26010 Pro с 384 ядрами. Стоит отметить, что этот чип разработан самими китайцами. О том, что это за процесс...

Acer представляет новый Predator Triton Neo 16 с процессорами Intel Core Ultra Компания Acer представила новый игровой ноутбук Predator Triton Neo 16 (PTN16-51), созданный на базе новых процессоров Intel Core Ultra со специальными возможностями ускорения искусственного интеллекта и графических процессоров серии NVIDIA GeForce RTX 40

3D Realms анонсировала шутер от первого лица Twisted Tower Компания 3D Realms в сотрудничестве с Atmos Games недавно объявила о предстоящем выпуске Twisted Tower, единственного в своем роде шутера от первого лица для ПК. Эта игра, напоминающая слияние BioShock и Willy Wonka, отправит игроков в захватывающее и запутанное путешествие ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Нужно больше нейросетей: корпорация Google открыла общий доступ к старшей модели. Как это работает? Сейчас крупные IT-компании спешат разработать собственный продукт на базе ИИ-моделей, и многим это удается. Например, корпорация Google не так давно представила сразу несколько моделей, а сейчас открыла доступ к наиболее мощной из них. Кроме того, компания анонсировала и при...

Microsoft обновила веб-версию Microsoft Store Сегодня компания Microsoft официально запустила новую веб-версию своего магазина приложений для операционной системы Windows. Данная платформа разработана как замена существующему магазину приложений для систем на базе Windows, который представлен в веб-формате. Разработчики...

В России обучили нейросети работе с калмыцким языком Калмыцкий государственный университет (КалмГУ) в сотрудничестве с региональным центром цифровой трансформации разработал набор цифровых инструментов для изучения калмыцкого языка. Новость была объявлена ректором КалмГУ, Бадма Салаевым, который подчеркнул, что этот проект пре...

Новейший тест 3DMark Steel Nomad заменит Time Spy. Он будет бесплатным для всех пользователей Компания UL Solutions объявила сегодня, что выпустит новый тестовый проект Steel Nomad в 3DMark и предоставит его существующим пользователям бесплатно, начиная с первого квартала 2024 года. Напомним, тест Time Spy был запущен в 2016 году. Это широко используемый эталонн...

Небольшая компания представила процессор с 900 тыс. ядер. Что это за чудо технологий? О компании Cerebras на Хабре писали несколько раз, чаще всего с упоминанием того, что она создала самый большой в мире процессор. И сейчас та же ситуация — она повторила свой рекорд, разработав гигант с 900 тыс. вычислительных ядер. Конечно, это чип не для обычных пользовате...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Qualcomm выпустит Snapdragon X Elite уже в середине 2024 года Согласно сообщению от главы компании Qualcomm, релиз процессора Snapdragon X Elite состоится в самое ближайшее время. Новый процессор, по словам представителей компании, специально разработан для ноутбуков под управлением Windows и появится на рынке в середине 2024 года. Это...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Silicon Motion Technology Corporation представила новый контроллер UFS 4.0 SM2756 Компания Silicon Motion Technology Corporation представила новый контроллер UFS (Universal Flash Storage) 4.0 SM2756, разработанный для удовлетворения требований смартфонов, работающих на базе искусственного интеллекта

Сбер выпустил мультяшную SberBoom Mini Команда Сбербанка объявила о выпуске специальной версии своей фирменной умной колонки SberBoom Mini (обзор) с героями популярного мультсериала «Простоквашино». Как рассказали в компании, в устройство интегрировано множество развлекательных навыков, которые б...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

ИИ научили распознавать товары из ТВ-шоу и предлагать ссылки на них в интернет-магазинах В сети появилась информация о свежем сотрудничестве Walmart с потоковой платформой Peacock. Компании совместно запустили инновационный сервис на базе искусственного интеллекта ИИ, названный «Покупайте сейчас».

15 лет развитию СПО в России Посвящается 15 лет развитию СПО в России.Для Лиги Лени: все очень плохо, хотя это смотря для кого2007: Компания «РБК-Центр» (Армада) объявлена победителем конкурса на заключение гос. контракта по созданию пакета свободного программного обеспечения и пилотному апробированию э...

Представлен Meizu 21 Pro – последний смартфон компании Meizu представила улучшенную модель своего смартфона Meizu 21 – Meizu 21 Pro. Это последняя модель некогда популярного бренда, поскольку недавно компания объявила о прекращении производства смартфонов. Meizu 21 Pro характеризуется сохранением аппаратной базы предшественника…

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Представлен FreeBook Laptop 2023 Компания Chuwi предлагает ноутбук FreeBook Laptop 2023, который построен на базе процессора Intel Core i3-1215U

Realme выпустит смартфон в сотрудничестве с Rolex На официальной странице Realme в социальной сети X появились изображения, намекающие на неожиданное сотрудничество между ней и известной часовой компанией Rolex. На изображениях показан процесс разработки смартфона Realme 12 Pro+.

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Компания Asus представила свою новую материнскую плату Pro WS Asus представила новую топовую материнскую плату Pro WS TRX50-SAGE WIFI, специально разработанную для процессоров AMD Threadripper 7000.

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Ozon запустит собственную оболочку для Smart TV со встроенными сервисами VK Ozon при сотрудничестве с VK разработал собственную операционную систему для умных телевизоров Hartens. Голосовой помощник Маруся, магазин приложений RuStore и другие сервисы VK, а также собственное приложение для Smart TV, будут встроены в оболочку Ozon TV для новых телевиз...

Функции Samsung Galaxy AI будут доступны и на старых флагманах На своей фирменной презентации, которая прошла две недели назад, компания Samsung объявила миру, что она умеет создавать не только качественные аппаратные и программные продукты, но и отлично справляется с реализацией технологий на базе искусственного интеллекта. Например, к...

Slingshot Aerospace объявила о создании новой базы в Великобритании Американская компания Slingshot Aerospace, специализирующаяся на координации и анализе космического трафика, объявила о создании новой базы в Великобритании. Этот шаг является частью стратегии компании по глобальной экспансии. Мелисса Куинн, бывший руководитель группы анализ...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Аквариус и Nemifist запускают производство игровых и корпоративных компьютеров в Твери Компания "Аквариус" в сотрудничестве с Nemifist объявила о начале производства специализированных игровых ПК и компьютерных сборок для корпоративного сектора на своих мощностях в Твери. Планируется выпуск шести моделей, включая бюджетные, среднебюджетные и топовые устройства...

Объявлена дата выхода смартфона OnePlus Open Компания OnePlus объявила дату выхода складного смартфона OnePlus Open. Премьера состоится 19 октября в Мумбаи, Индия. Производитель уже подтвердил наличие легкого и прочного складного корпуса, а также продвинутой основной камеры. Ранее сообщалось, что смартфон оснастят ...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Zhaoxin KX-7000 протестировали в бенчмарках Недавно в Китае была представлена новая серия процессоров KX-7000 от компании Zhaoxin, предназначенных для настольных ПК для внутреннего рынка страны — продавать их официально за пределами Китая никто не планирует. А сегодня процессоры этой серии впервые появились в базе дан...

Microsoft выпустила контроллер Proteus для игроков с ограниченными возможностями Контроллер Proteus от Byowave был разработан в сотрудничестве с командой «Designed for Xbox».

Logitech анонсировала беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED с технологией KEYCONTROL Компания Logitech представила новую беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED, разработанную в сотрудничестве с профессиональными киберспортсменами. Уникальная технология KEYCONTROL позволяет настраивать тактильный отклик клавиш, а сверхбыстрая беспроводная связь о...

PlayStation 5 Pro уже готовится к официальному анонсу Буквально на прошлой неделе компания Sony официально анонсировала Slim-версию своей консоли нового поколения PlayStation 5, а уже сегодня появилась первая неофициальная информация о более производительной консоли PlayStation 5 Pro. Стоит сразу отметить, что данная информация...

В бенчмарке засветился процессор Qualcomm Snapdragon X Plus По информации западных инсайдеров, компания Microsoft готовится к мероприятию по анонсу своих новых планшетов и ноутбуков на базе ARM-процессоров — событие пройдёт 20 мая, а основным партнёром в этом вопросе выступит компания Qualcomm, которая разрабатывает мобильные процесс...

Intel и Microsoft объявили о сотрудничестве по микросхемам Intel объявила о сотрудничестве с Microsoft, планируя производство индивидуальных вычислительных чипов. Это партнерство ставит своей целью опережение основного конкурента, Taiwan Semiconductor Manufacturing Co (TSMC). Intel рассчитывает превзойти собственный внутренний срок ...

Xiaomi подтвердила выход международного Xiaomi 14 с процессором Snapdragon 8 Gen 3 Компания Xiaomi объявила дату анонса глобальной версии флагманского смартфона Xiaomi 14 с процессором Snapdragon 8 Gen 3 - 25 февраля.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Искусственный интеллект будет в смартфонах на уровне железа. Спасибо Google и Qualcomm Искусственный интеллект пока не изменил нашу жизнь настолько, чтобы она больше не была такой, как прежде. Но некоторые изменения уже есть, и если правильно пользоваться ими, то все становится намного проще. Было лишь вопросом времени, когда искусственный интеллект появится ...

«Лунный» процессор Intel был впервые протестирован. 20-ядерный Lunar Lake появился в базе SiSoft Sandra Компания Intel уже явно располагает образцами процессоров Lunar Lake, потому как один из них засветился в базе SiSift Sandra.  Определить CPU позволило кодовое имя платформы — LNL-M LPP RVP1. ПО говорит о поддержке памяти LPDDR5, то есть это мобильный процес...

К сожалению, это память не для игровых видеокарт. Samsung обойдёт Hynix и представит HBM4 раньше Память HBM становится всё более важной для рынка, так как именно её используют ускорители для ИИ. Компании Samsung и Hynix рассказали, когда стоит ожидать новую память HBM4.   Первой должна быть Samsung. Она готова выпустить HBM4 уже в следующем году. Это будут 16...

Компания Minisforum готовит планшет на базе процессора AMD Hawk Point Предположительно, это одно из семейств в будущей серии AMD Ryzen 8000.

ASUS представила новые компьютеры и встраиваемые платы на базе процессоров Intel Core Ultra Компания ASUS IoT представила новые ультракомпактные компьютеры и встраиваемые платы на базе процессоров Intel Core Ultra

Компания Colorful представила свой первый мини-ПК Intel CMNH01 Мини-ПК CMNH01 построен на базе процессора Intel Core i7-12450H и подойдет для домашнего и офисного использования.

Apple представила MacBook Pro на базе новых процессоров M3, M3 Pro и M3 Max Компания провела презентацию Scary Fast в ночь с 30 на 31 октября 2023 года.

Анонсирован ещё один игровой КПК на базе процессора Intel Его выпустит Tulpar, дочерняя компания турецкого Monster Notebook.

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Palantir и Voyager Space объединяют усилия для применения искусственного интеллекта в космосе в новом проекте Starlab Американская компания-единорог Palantir, специализирующаяся в области аналитики данных и искусственного интеллекта, продолжает углублять своё присутствие в космической сфере. Она объявила о стратегическом сотрудничестве с компанией Voyager Space для изучения потенциала ...

Немецкий поставщик комплектующих для автомобилей Eissmann Automotive объявил о банкротстве Германский деловой и экономический журнал «WirtschaftsWoche» сообщает, что немецкий поставщик комплектующих для автомобилей компания Eissmann Automotive, базирующаяся в Бад-Урахе, земля Баден-Вюртемберг, объявила о закрытии производства. Компания и ее немецкие дочерние компа...

Представлены китайские процессоры Montage Technology Jintide с количеством ядер вплоть до 48, внутри которых на самом деле скрываются CPU Intel Пока одни китайские компании разрабатывают собственные GPU, другие берут продукты глобальных гигантов и переделывают их в продукты для Китая. Компания Montage Technology представила линейку процессоров Jintide пятого поколения. Но это не собственная разработка Montage T...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Процессоры Intel Meteor Lake всё же выйдут на ПК Некоторое время назад представители компании Intel официально сообщили о том, что они не планируют новое поколение процессоров Meteor Lake выпускать на десктопных компьютерах. Это достаточно странное решение, которое не понравилось многим геймерам, потому что они надеялись п...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Будущее без Android. Запуск HarmonyOS Next состоится в сентябре, ОС будет поддерживать моноблоки, складные смартфоны, планшеты и умные часы О внутренних планах Huawei по сентябрьскому запуску HarmonyOS Next с совместимостью с «моноблоками-флагманами, складными флагманами, телефонами среднего класса, планшетами и умными часами», ожидаемым во второй половине 2024 года, рассказал инсайдер Digital C...

Intel готовится к производству процессоров по 2-нм нормам – чипы на базе Intel 18A появятся в 2025г Глава Intel рассказал о планах компании на несколько лет

Simply NUC представила свое новое устройство Brave Canyon NUC на базе процессоров Intel Компания Simply NUC только что представила свое новое устройство Brave Canyon NUC на базе чипов Intel для встраиваемых приложений

Intel готовит ещё 3 процессора нового поколения без индекса К Буквально вчера вечером компания Intel официально представила свои совершенно новые процессоры, которые на самом деле оказались процессорами прошлого года, но с повышенной тактовой частотой производительных ядер. Это, безусловно, разочаровало многих пользователей, так как ге...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Во Франции выкупили ИИ-кластер Nvidia из 1016 ускорителей ради универсального ИИ Французская телекоммуникационная компания Iliad приобрела Nvidia DGX SuperPOD с 1016 графическими процессорами H100. Эта высокопроизводительная вычислительная система будет установлена в парижском ЦОД компании и будет предлагаться клиентам через ее дочернюю облачную компанию...

Bybit объявила о сотрудничестве с компанией The Open Network (TON) Bybit, третья по посещаемости криптобиржа в мире, сообщила о сотрудничестве с компанией The Open Network (TON). Она предлагает своему сообществу возможность зарабатывать и копить монеты TON со значительной выгодой. Это совместное мероприятие продлится с 8 ноября 2023 года по...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Redmi удивила журналистов: в приглашении на презентацию Redmi K70 оказался конструктор Lego Компания Redmi всегда отличается, присылая журналистам необычные приглашения на презентации своих смартфонов. Сегодня состоится презентация Redmi K70E, Redmi K70 и Redmi K70 Pro. Приглашение включает бейдж с напечатанными на нем словами «Комплексная эволюция серии...

Toyota теперь сотрудничает с Huawei Сегодня западные журналисты сообщили, что автомобильный гигант Toyota в ближайшем будущем интегрирует систему, разработанную совместно с Huawei, в свои новые автомобили — в том числе для глобального рынка. В компании считают, что это положительно скажется на общей безопаснос...

Минус один локализованный автобренд. Кроссоверы DFSK ix5 и ix7 не будут собирать на «Автоторе» —пилотный проект признан неудачным В феврале компания «Моторинвест» сообщил о запуске производства в Калининграде на мощностях «Автотора» кроссоверов DFSK ix5 и ix7, тогда же были объявлены характеристики и раскрыты цены. Но, как оказалось сейчас, машины в России собирать не будут...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Теперь и на Mac. Microsoft выпустила Windows 11 для новейших компьютеров Apple Начиная с 2020 года компания Apple выпускает новейшие компьютеры Mac, которые построены не на базе процессоров Intel, которые ранее использовались в продукции бренда на протяжении более чем пятнадцати лет, а на процессорах собственной разработки. С Читать полную версию публ...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Представлен планшет Teclast T60 с чипом Unisoc Tiger T616 и тонкими рамками Компания Teclast объявила о выпуске Android-планшета Teclast T60 на базе чипсета Unisoc T616.

Acer представила видеокарты Radeon RX 7700 XT, RX 7600 XT и RX 7800 XT Теперь модельный ряд компании пополнился ещё четырьмя картами на базе графических процессоров AMD Radeon

Minisforum представила 14-дюймовый планшет V3 на базе Ryzen 7 8840U Компания Minisforum представила свой первый 14-дюймовый планшет на базе процессора AMD Ryzen 7 8840U с дисплеем 2K и частотой обновления 165 Гц.

Nvidia и Intel выясняют причины сбоя в видеокартах GeForce, работающих на базе Raptor Lake Компания Nvidia заявила, что проблемы со стабильностью в Raptor Lake связаны не с видеокартами, а с самими процессорами Intel.

Представлен мощный ноутбук Kubuntu Focus M2 на базе Linux Компания Kubuntu Focus выпустила новый ноутбук Focus M2 пятого поколения, в основе которого лежит процессор Core i9-14900HX.

Опубликованы дизайны ноутбуков Dell, работающих на базе процессора Snapdragon X Elite В будущую линейку компании Dell входят ноутбуки Inspiron 14 7441 Plus и XPS 13 9345.

iOS 18 получит массу приложений на базе ИИ Если верить информации инсайдеров, на мероприятии WWDC 2024 в июне текущего года компания Apple официально объявит о предстоящем обновлении операционной системы iOS 18, в рамках которой будет реализовано множество интересных нововведений. Например, компания разрабатывает раз...

МегаФон помог «Лаборатории Касперского» протестировать смартфон на ОС компании «Лаборатория Касперского» в сотрудничестве с МегаФоном завершила тестирование перспективного смартфона на базе KasperskyOS.

Firefly Aerospace и Lockheed Martin запустят технологию, позволяющую ускорить запуск космических аппаратов Новая технология, разработанная компанией Lockheed Martin, названная Tantrum, открывает новые возможности для эффективного запуска и настройки спутников. В рамках сотрудничества с компанией Firefly Aerospace, они разработали инженерный образец, который сократит время, н...

Китайцы снова эксклюзивно получат новый процессор Intel. Это будет 10-ядерный Core i5-14490F Компания Intel снова выпустит для китайского рынка уникальный процессор. Возможно, и не один, но пока появилась информация только о модели Core i5-14490F.  Core i5-14490F — это преемник Core i5-13490F, который также выпускался только для Китая, а до этого та...

Microsoft и Oracle расширяют сотрудничество для удовлетворения спроса на Oracle Database@Azure Корпорация Microsoft и компания Oracle расширяют сотрудничество, чтобы удовлетворить растущий спрос клиентов на Oracle Database@Azure во всем мире. База данных Oracle Database@Azure будет расширена еще на пять регионов, в результате чего общая запланированная зона доступност...

В Хабаровском крае появится передовая инженерная школа При сотрудничестве властей Хабаровского края и крупных промышленных компаний строится новая передовая инженерная школа (ПИШ), нацеленная на развитие горнорудной отрасли. Заместитель председателя правительства региона, Евгений Никонов, объявил о старте этого проекта на Конгре...

Автомобили BMW будут собирать человекоподобные роботы Робототехнический стартап Figure сообщил о подписании партнерства с BMW Manufacturing. В рамках сотрудничества двух компаний BMW начнет использовать человекоподобных роботов Figure на своем североамериканском заводе в Спартанбурге (штат Южная Каролина). Это первая комме...

Тесты новейшего процессора Loongson 3A6000 Недавно был представлен 8-ядерный процессор следующего Loongson 3A6000 на базе ядра LA664, а знакомый энтузиаст смог приобрести плату на данном процессоре (модель процессора LS3A6000-HV и чипсет LS7A2000). Читать далее

Nvidia и AMD начнут производить ARM-процессоры для компьютеров на базе Windows Это самая большая угроза с которой когда-либо сталкивалась компания Intel.

Biostar представила видеокарту на графическим процессоре Intel Arc A750 Компании потребовалось всего чуть больше двух лет, чтобы выпустить видеокарту на базе Arc A750.

Colorful выпустила свой первый мини-ПК на базе Core i5-12450H В настоящее время компания работает над другими моделями с процессорами Intel и AMD последнего поколения

Игровой ноутбук Colorfire Meow R15 получил CPU Ryzen 7000 Компания Colorfire анонсировала новый игровой ноутбук Meow R15 с необычным дизайном и мощной начинкой на базе процессоров AMD Ryzen 7000.

15 ноября открываются предварительные заказы на смартфоны Meizu 21 и 21 Pro Компания Meizu готовится представить флагманский телефон на базе процессора Snapdragon 8 Gen 3 в этом году.

Появились первые тесты процессора AMD Ryzen 7 7840S Сегодня китайский сайт опубликовал полноценный обзор нового ноутбука Lenovo Yoga Air 14s 2023 Ryzen Edition, оснащённого эксклюзивным процессором AMD Ryzen 7 7840S, который был спроектирован и разработан специально для Lenovo. Этот чип, основанный на архитектуре Zen 4, имеет...

Новый процессор компании Ampere «сломал» Linux – у Ampere One оказалось слишком много ядер Компания Ampere создала систему с двумя процессорами по 192 ядра, тогда как сегодня Linux может обрабатывать до 256 ядер

Росатом подписал соглашения о сотрудничестве в области аддитивных технологий с КНИАТ и Республикой Татарстан Компания-интегратор российской атомной отрасли «РусАТ» (ООО «Русатом – Аддитивные технологии») подписала соглашение о взаимодействии с Казанским научно-исследовательским институтом авиационных технологий (КНИАТ), а также дорожную карту сотрудничества с Республикой Татарстан....

Apple не смогла сама и пришла к Google и OpenAI. Компания ведёт переговоры об интеграции Gemini и/или GPT в свои iPhone Похоже, у Apple имеются проблемы с разработкой собственного генеративного ИИ для конкуренции с ChatGPT и другими моделями. Сообщается, что купертинский гигант ведёт переговоры с Google и OpenAI касательно использования их моделей.  создано DALL-E Apple общается с ...

Процессоры Intel Lunar Lake «Core Ultra 200V» появятся в игровых портативных компьютерах Китайский производитель Shenzhen Weibu Information Inc. объявил о том, что их новый игровой портативный компьютер GP10 будет работать на базе процессорной платформы Intel Lunar Lake «Core Ultra 200V».

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Водоблок “разгонщиков” EK-Quantum Delta² TEC получил поддержку последних Intel Core Компания EK, производитель решений для охлаждения компьютеров, объявила сегодня о том, что водоблок EK-Quantum Delta² TEC, предназначенный для экстремального охлаждения процессоров, теперь поддерживает новейшие процессоры Intel Core 14-го поколения.

LF Foundation запустит глобальный криптовалютный кошелек: LF Super Wallet Paris, France — LF Foundation объявила о скором запуске LF Super Wallet: глобального криптовалютного кошелька, который изменит рынок электронной коммерции. LF Super Wallet был разработан для децентрализованной финансовой среды (Defi), позволяя пользователям использоват...

NVIDIA уже скоро представит новую видеокарту для ИИ Конференция GTC 2024 от NVIDIA должна начаться уже через несколько дней, но компания уже сейчас дала разработчикам предварительный список графических процессоров для искусственного интеллекта на базе архитектуры Blackwell нового поколения. К сожалению, хотя журналистам предс...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

AMD планирует выпустить процессоры Ryzen 8000GE с низким энергопотреблением Компания готовит к выпуску новые энергоэффективные процессоры серии Ryzen 8000GE с низким TDP 35 Вт на базе архитектуры Zen 4.

AMD выпускает собственный ИИ-чат Компания AMD выпустила собственный офлайн чат-бот на базе ИИ, который работает на основе процессоров Ryzen AI и видеокарты серии Radeon RX 7000.

Intel проводит расследование в связи с нестабильной работой процессоров Raptor Lake в играх Южнокорейские СМИ пишут о том, что компания Intel проводит расследование в связи с нестабильностью процессоров на базе архитектуры Raptor Lake.

Geekom готовит мини-ПК на базе Intel "Meteor Lake" и AMD "Hawk Point" Разработчик мини-ПК компания Geekom привезет на международную выставку CES 2024 три инновационных десктопа на базе новейших мобильных процессоров от Intel и AMD

Intel объявила о ребрендинге процессоров Xeon Первыми получат новые наименования процессоры линейки Sierra Forest, которые теперь будут называться просто Xeon 6

Объявлены победители конкурса Call for Code 2023 Команды-победители из компаний Hexaware Technologies, Persistent и Сиднейского университета разрабатывают решения на базе ИИ, которые помогут преодолеть проблемы устойчивого развития.

Представлен первый в мире автомобильный дисплей в прозрачном кристалле Swarovski Continental продемонстрировала автомобильный экран под названием Crystal Center Display на выставке CES 2024. Производитель заявляет, что это первый в мире автомобильный дисплей для автомобилей премиум-класса, полностью интегрированный в кристалл Swarovski. Дисплей явл...

Paradox Interactive объявила о сотрудничестве с SquareNite для издания игры Darfall Издатель и разработчик игр Paradox Interactive объявил о сотрудничестве с SquareNite для издания игры Darfall

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Новые автомобили Toyota помогут улучшить Huawei и Momenta Toyota сотрудничает с Huawei и Momenta при создании новой системы интеллектуального вождения, которая будет установлена на глобальные модели. Это решение отличается от существующей высокопроизводительной системы помощи водителю компании Huawei. Momenta и Huawei предоста...

Acer представила свои первые ноутбуки на новейшем процессоре Intel Core Ultra 100 Компания Acer представила обновленную версию своего тонкого и легкого ноутбука Acer Swift Go 14 на базе новейших процессоров Intel Core Ultra 100.

Tesla сможет начать импорт электромобилей в Индию при условии локализации производства в течение двух лет О сотрудничестве может быть объявлено уже в январе следующего года.

Реактивное топливо сделали из человеческого кала Химики из Глостершира разработали низкоуглеродное топливо для реактивных двигателей, получаемое исключительно из человеческих сточных вод. Компания Firefly Green Fuels в сотрудничестве с Крэнфилдским университетом провела обширный анализ жизненного цикла топлива, в результат...

В «Яндекс 360» запустили новые тарифы для бизнеса Команда Яндекса объявила о запуске новой представляет новую тарифную линейку  универсального набора сервисов «Яндекс 360» для бизнеса. Теперь, подключая «Яндекс 360» для бизнеса, компания может получить доступ не только к сервисам цифровой с...

Смартфоны Nothing Phone (2) наконец получат стабильную версию Nothing OS 2.5 Разработчики компании Nothing Technology Ltd., представившей Android-смартфоны серии Nothing Phone, наконец объявили о полноценном обновлении сборки Nothing OS 2.5 на базе Android 14.

Компания SberDevices выпустила умную колонку SberBoom Mini c героями «Простоквашино» Устройство разработано командой компании SberDevices в коллаборации со студией «Союзмультфильм». В гаджет интегрировано множество развлекательных навыков, которые будут полезны детям и понравятся их родителям.

ESTech объявила об открытии совместного предприятия с WonderHill Studios Сегодня ESTech, инвестиционно-консалтинговая компания, зарегистрированная в США, объявила о подписании меморандума о взаимопонимании с новой студией кино- и телевизионного производства и проката WonderHill Studios (WHS). В октябре 2023 г. WonderHill Studios и ESTech в непоср...

Xiaomi 15 выйдет в октябре 2024 года На прошлой неделе появилась информация от инсайдеров о том, что у компании Xiaomi есть эксклюзивное право на первый запуск смартфона на базе грядущего процессора Snapdragon 8 Gen 4 от Qualcomm, а теперь появились данные о том, когда этот релиз можно будет ждать. Согласно ано...

Qualcomm готовит к релизу процессор Snapdragon 8s Gen 3 Сегодня появилась информация о том, что компания Qualcomm планирует расширить свой арсенал процессоров для мобильной платформы за счёт чипа Snapdragon 8s Gen 3, который, судя по различным источникам, будет представлен в самое ближайшее время. Более того, в китайских социальн...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

В сети появился список ноутбуков ASUS с процессорами AMD следующего поколения на базе Zen 5 Компания ASUS нечаянно рассекретила свои будущие новинки на базе Zen 5.

Huawei анонсировала настольные компьютеры Qingyun на базе процессора Kirin 9000C Компания представила две модели с различными вариантами конфигурации системной памяти и накопителей

iPad Pro на M4 опережает Intel Core i9-14900KS на 16% На мероприятии «Let Loose» компания Apple анонсировала iPad Pro (2024) на базе процессора M4. Как утверждает производитель, планшет на 50% быстрее, чем 12,9-дюймовый iPad Pro (6-го поколения) на M2. Данное утверждение проверили в бенчмарке Geekbench. Так новинка с 16 ГБ…

MeLE выпускает миникомпьютер на базе Alder Lake-N Компания MeLE представила миникомпьютер карманного размера, всего 146×61×20 мм и массой 148 грамм. В основе машины лежит процессор Intel N100 Alder Lake-N с 4 ядрами частотой от 800 МГц до 3,4 ГГц.

Samsung планирует сделать Galaxy S24 ИИ-смартфоном Инсайдеры уверенно заявляют, что в январе компания Samsung официально представит флагманский смартфон Galaxy S24, который продемонстрирует пользователям то, куда двигается рынок современных смартфонов в целом. Всё дело в том, что инсайдеры заявляют, что новые смартфоны будут...

Intel и Submer объявили о прорыве в области иммерсионного охлаждения Обе компании заявили, что их новое устройство проложит путь к охлаждению процессоров мощностью 1000 Вт.

Qualcomm готова показать первую SoC RISC-V на базе Android для массового рынка Экосистема Android делает шаги в сторону внедрения RISC-V: Google подтвердила официальную поддержку RISC-V в Android, а компания Qualcomm объявила о разработке первого массового RISC-V Android SoC.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Интегрированное графическое ядро, которое мощнее PlayStation 5. Процессоры AMD Strix Halo подтвреждены Компания AMD фактически подтвердила существование процессоров под кодовым именем Strix Halo.   Сначала их ID (GFX1150/1151) появились в базе ROMc, а затем появились уже непосредственно названия серии.  Само собой, технических подробностей там нет, так как так...

МТС и Группа компаний ФСК начали сотрудничество в создании телеком-инфраструктуры недвижимости ПАО «МТС» и одна из крупнейших девелоперских компаний России ГК ФСК, договорились о стратегическом сотрудничестве в организации комплексной телекоммуникационной инфраструктуры с целью предоставления качественных услуг мобильной связи на объектах капитального строительства за...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Представлен портативный мини-ноутбук GPD WIN Max 2 Компания GPD объявила о предстоящем выпуске своего портативного устройства WIN Max 2, оснащенного новым процессором AMD R7 8840U.

Компания SolaX Power стала партнером Borussia Dortmund Компания SolaX Power объявила о том, что она стала партнером выдающегося немецкого футбольного клуба Borussia Dortmund. Это знаковое сотрудничество знаменует собой первый альянс между клубом Borussia Dortmund и предприятием в сфере хранения солнечной энергии, что отмечает зн...

В России появилась новая платформа для умных телевизоров – для телевизоров Ozon и со встроенными сервисами VK Ozon при сотрудничестве с VK разработал собственную операционную систему для умных телевизоров Hartens. Об этом рассказала пресс-служба  VK (бывшая Mail.ru Group).  Голосовой помощник Маруся, магазин приложений RuStore и другие сервисы VK, а также собственное...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple Card может продолжить работу в сотрудничестве с бразильской компанией Похоже, что Apple рассматривает бразильскую финтех-компанию Pismo в качестве замены Goldman Sachs в качестве эмитента легендарной Apple Card.

Microsoft представила планшет Surface Pro 10 и ноутбук Surface Laptop 6 На мероприятии Microsoft Surface компания представила два устройства Surface для бизнеса – Surface Pro 10 и Surface Laptop 6. Обе новинки работают на базе процессора Intel Core Ultra с поддержкой AI. Помимо процессора Microsoft не внесла никаких других изменений ни в один из...

Названы основные особенности процессоров AMD Ryzen 9000 Компания AMD уже готовится к выпуску процессоров на базе новой архитектуры Zen 5, но не спешит делиться подробностями. Зато это уже сделали инсайдеры

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

Суперкомпьютер Fugaku объединяется с квантовой системой IBM для будущих вычислений Японский суперкомпьютер Fugaku на базе процессоров Arm объединится с новейшей квантовой системой IBM в рамках проекта по исследованию и разработке будущих вычислительных систем. Об этом объявила компания IBM, сообщив о соглашении с японским государственным научно-исслед...

Шольц заявил о надежде немецких компаний на газ из Нигерии «Немецкие компании заинтересованы в поставках газа из Нигерии и надеются на сотрудничество с нигерийскими газовыми компаниями», — сказал он.

Tecno POVA 6 Pro появился в базе данных Geekbench Учитывая, что в Geekbench упоминается графический процессор Mali G57, ожидается, что смартфон будет работать на процессоре MediaTek Dimensity 6080

Huawei разработала «магнитоэлектрический» диск. Что это за накопитель и как он работает? За последние пару лет многие компании и научные организации представили разные виды новых или модифицированных старых носителей информации. Это и кварцевые стекла, и очень емкие ленточные накопители, и даже носители на базе ДНК. Тем не менее, регулярно появляются другие раз...

В России начали серийно производить премиальный ноутбук «Гравитон» Н17И-Т 29 сентября российская компания Гравитон объявила о начале серийного производства собственного ноутбука «Гравитон» Н17И-Т. По их заявлениям, модель не только полностью разработана и произведена на территории России, но и способна полноценно заменить настольный ПК. «Гравитон...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

AMD выпускает серию встраиваемых процессоров Ryzen Embedded 7000 Компания AMD объявила о выпуске серии процессоров Ryzen Embedded 7000, предложив архитектуры процессоров Zen 4 и графики RDNA 2 системам промышленной автоматизации, машинного зрения, роботам и серверам.

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

IBM и Riken интегрируют квантовый компьютер с суперкомпьютером Fugaku в Японии Компания IBM в сотрудничестве с японской национальной исследовательской лабораторией Riken объявила о начале интеграции своего новейшего квантового компьютера, IBM System Two, с одним из самых мощных суперкомпьютеров мира, Fugaku. Это партнерство открывает новые перспективы ...

CATL и Stellantis подписали меморандум о поставках на европейский рынок аккумуляторов LFP CATL и Stellantis N.V. объявили о подписании меморандума о намерениях о поставках аккумуляторов и модулей LFP для производства электромобилей Stellantis в Европе. Для дополнительной поддержки агрессивной стратегии электрификации Stellantis обе компании рассматривают возможно...

Процессор Snapdragon X будет быстрее Apple M2 Qualcomm объявила о ребрендинге своих процессоров для ПК, которые теперь будут называться серией Snapdragon X, чтобы лучше отличать их от других линеек продуктов компании. Помимо названия первый чип серии Snapdragon X станет достаточно производительным, чтобы составить…

Представлен Chevrolet Spin 2025 Компания Chevrolet представила Chevrolet Spin 2025 модельного года, который отличается обновленным внешним видом, современными технологиями и новой настройкой шасси. Оригинальный Chevrolet Spin — разработанный в Бразилии минивэн-кроссовер на базе снятого с произво...

Zotac выпускает миниПК на основе Intel N100 Компания Zotac, несомненно, является одним из лидеров на рынке миникомпьютеров. И она представила своё новое решение ZBOX Pro PI339 pico — фактически карманный миникомпьютер на базе процессора Intel Processor N100.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

iPad Pro с М4 на 45% мощнее предшественника Два дня назад компания Apple официально представила новые iPad Pro и iPad Air — например, теперь Air доступен в диагоналях 11 и 13 дюймов, как и модели Pro-серии. Интересно также и то, что Air в некотором смысле является iPad Pro SE, как как новые модели 2024 года используют...

Intel выиграла в суде и не будет платить 2 млрд долларов Компания Intel, ведущий производитель процессоров в мире, добилась значительной победы в суде — сегодня суд апелляционной инстанции отменил штраф в размере 2,18 миллиарда долларов, наложенный на неё в 2021 году. Этот штраф был связан с основными компонентами систем управлени...

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Toyota Land Cruiser 200 воскресили: представлен рамный внедорожник Nord Demir Нигерийская компания Nord Automobiles представила свой новый рамный внедорожник под названием Nord Demir, который является ребрендинговым вариантом китайской модели Hengtian L4600. А Hengtian L4600, в свою очередь, является практически точной копией японского рамного в...

Volvo объявила о прекращения финансирования Polestar Шведский автопроизводитель Volvo объявил об отмене финансирования своего подразделения Polestar, специализирующегося на электромобилях. Несмотря на то, что Volvo была одним из первых автопроизводителей, внедривших электрические автомобили, компания приняла решение сосредоточ...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Biostar выпустила графическую карту Arc A750 Это модель станет второй видеокартой компании на базе графических процессоров Intel

Apple проведёт мероприятие WWDC 2024 уже 10 июня Как и предполагали инсайдеры, компания Apple объявила дату своего мероприятия WWDC 2024, которое состоится в июне. Компания проведёт основное мероприятие для разработчиков с 10 по 14 июня, чтобы объявить о важных обновлениях программного обеспечения и провести сессии для раз...

Процессор Snapdragon X Elite оказался мощнее решения от Apple Десктопный процессор для ноутбуков Snapdragon X Elite был анонсирован в конце октября, и вскоре после этого компания Apple ответила потенциальным конкурентам своими первыми процессорами на технологическом процессе в 3 нм — M3, M3 Pro и M3 Max. Вскоре после этого представител...

Micron разрабатывает 128 ГБ модули RDIMM на основе монолитных чипов DRAM объёмом 32 Гб Компания Micron объявила о разработке модулей DDR5 RDIMM объёмом 128 ГБ. Эти модули создаются на основе монолитных 32 Гб ядер DRAM и предназначены для растущих потребностей центров обработки данных, включая искусственный интеллект и базы данных в памяти.

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Redmi представила смартфон Turbo 3 Сегодня компания Redmi официально объявила о запуске своего первого смартфона в своей новой линейке Turbo — гаджет поступил в продажу под названием Redmi Turbo 3. Линейка Turbo — это новая серия Redmi, ориентированная на производительность, и она расположена в ценовом сегмен...

Huawei выпустила смартфон на базе Kirin 9010L Мобильный процессор Kirin 9010 заменил модель Kirin 9000S в начале этого года, когда Huawei официально представила серию смартфонов Pura 70. Однако, если верить информации западных инсайдеров, компания также разрабатывала другую версию своего новейшего процессора, который на...

AMD поможет создать многокристальные чипы для американских военных. Компания заключила контракт с Raytheon Компания Raytheon, которая является главным оборонным подрядчиком США, заключила контракт с AMD на создание многочиповой упаковки.  фото: AMD Контракт на сумму в 20 млн долларов подразумевает разработку многочиповой упаковки нового поколения для использования в на...

Intel продолжит сотрудничество с TSMC в производстве чипов На конференции Morgan Stanley TMT, финансовый директор Intel Дэвид Зинснер заявил, что компания будет продолжать использовать услуги TSMC для изготовления чипов на 18-нм технологическом узле.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

AMD нанимает Томаса Захарию для продвижения ИИ-суперкомпьютеров Захария будет работать над расширением сотрудничества AMD с правительствами, НПО и другими организациями для ускорения развертывания решений ИИ на базе AMD

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

В России представили первый сервер отечественного производства на базе процессоров Intel Xeon нового поколения Российская компания разработчик и производитель IT-оборудования Delta Computers представила Delta Serval, первый в России сервер на базе процессоров Intel Xeon Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids. Как подчеркнули в пресс-службе, он по...

Ускорители ИИ компании Nvidia получили серьезного конкурента, компанию Groq Компания Groq представила специализированный языковой процессор значительно опережающий ускорители искусственного интеллекта Nvidia

Начался глобальный бета-тест HyperOS. Как вступить и обновиться? Компания Xiaomi объявила о начале бета-тестирования прошивок на базе HyperOS для глобальных версий своих смартфонов.

Intel и UMC объявили о сотрудничестве в рамках разработки 12-нм чипа Intel и United Microelectronics Corporation (UMC) объявили о совместном сотрудничестве в разработке 12-нм техпроцесса. Это партнерство будет использовать крупномасштабные производственные мощности в США и опыт в производстве полупроводниковых пластин.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

В Россию привезут легковые машины, рамные внедорожники и грузовики SAIPA Директор по экспорту иранской компании SAIPA, Мансур Абтахи в интервью Sputnik объявил о намерении компании поставлять в Россию разнообразные транспортные средства через белорусского дистрибутора. Помимо легковых автомобилей, в планах компании поставлять в Россию рамные...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

Starlab Space и SpaceX объединились для вывода космическая станция Starlab на ракете Starship 31 января космическое предприятие Starlab Space, созданное совместно компаниями Voyager Space и Airbus Space and Defence, объявило о соглашении со SpaceX на запуск космической станции Starlab на сверхтяжёлой ракете Starship. Детали этого соглашения, включая условия и пл...

Intel повышает производительность Linux с помощью патча Thread Director Стремясь еще больше повысить производительность виртуальных машин на базе процессоров Intel в Linux, компания Intel внесла исправление в технологию Thread Director в операционной системе Linux.

Современные процессоры Intel запретили продавать в Германии. Компания проиграла патентный спор, касавшийся некоторых линеек CPU Компания Intel проиграла патентный спор в Германии. Из-за этого теперь там запрещены продажи некоторых процессоров компании.  DALL-E Региональный суд в Дюссельдорфе постановил, что Intel нарушила патент компании R2 Semiconductor, который касается технологии регули...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Apple готовится существенно обновить компьютер iMac Инсайдеры заявили, что процессор M3 от Apple изначально должен был появиться в этом году с улучшенными характеристиками и более высокой производительностью в рамках новых ноутбуков MacBook Air. Однако по новым данным, которые появились сегодня ночью, запуск передового процес...

AMD убрал тайваньскую маркировку с процессоров и оценил причастность Китая Удивив многих, AMD удалила все маркировки, указывающие на то, что ее процессоры Ryzen 7000 для настольных ПК производятся в Тайване. Впоследствии компания пояснила, что это решение было принято не для того, чтобы «умиротворить» КНР, которая уже давно пытается заставить компа...

Урезанные ИИ-ускорители NVIDIA оказались не интересны целевой китайской аудитории Санкции, введенные Соединенными Штатами против Китая в октябре 2022 года, затруднили китайским компаниям покупку передовых чипов искусственного интеллекта у NVIDIA. Новые ограничения затронули даже чипы NVIDIA A800 и H800, которые были разработаны в соответствии с предыдущим...

Игровой флагман ASUS ROG Phone 8 уже получил дату анонса Компания обещает, что новинка на базе мощного процессора Snapdragon получит флагманские характеристики, «заточенные» под мобильный гейминг

Диспетчер задач Windows скоро будет отображать активность AMD NPU Недавно Диспетчер задач Windows обновился поддержкой мониторинга процессоров Intel Meteor Lake на базе плиток. Теперь такую возможность получат процессоры AMD.

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Samsung Electronics и Intel объявили о сотрудничестве Samsung Electronics и Intel объявили о сотрудничестве в рамках разработки виртуализированной сети Open RAN

Представлен мини-ПК Colorful CMNH01-12450 Компания Colorful, которая известна по графическим адаптерам, объявила о выпуске своего первого мини-ПК CMNH01-12450. Новинка характеризуется 8-ядерным 12-поточным процессором Intel Core i7-12450H с максимальной частотой до 4,4 ГГц (вскоре будут доступны конфигурации на Core...

Ученые научили ИИ извлекать важную информацию из патологических отчетов о раке Ученые из Окриджской национальной лаборатории в сотрудничестве с Национальным институтом по раку разработали метод извлечения важной информации из отчетов о патологии рака с помощью искусственного интеллекта. Новый метод упростит загрузки информации в базу данных Национально...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

NVIDIA завоевала 90% рынка видеокарт для ИИ Компания NVIDIA без всякого сомнения занимает ведущие позиции в сегменте аппаратного обеспечения для технологий на базе искусственного интеллекта и аналитики предполагают, что компания заработает 46 миллиардов долларов только от сегмента центров обработки данных. Если верить...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

В ИТ-отрасли объявлено о слиянии за 35 млрд долларов Производитель ПО для проектирования микросхем Synopsys объявил о покупке компании Ansys.

Китайский процессор Zhaoxin KX-7000 протестировали в бенчмарках Китайский процессор Zhaoxin KX-7000, который был разработан локально в Китае для собственного рынка электроники, прошёл испытания в приличном наборе бенчмарков и тестового софта в стандартном формате и в сценариях с разгоном ядер, демонстрируя огромный прирост производительн...

В NASA анонсировали запуск посадочного модуля Nova-C на Луну Сотрудничество NASA с частными космическими компаниями продолжает способствовать освоению космоса: в ближайшее время будет произведен запуск посадочного модуля Intuitive Machines Nova-C на ракете SpaceX Falcon 9.

AOOSTAR GEM10: недорогой игровой мини-ПК на базе Ryzen 7 AOOSTAR объявила о выпуске GEM10, игрового мини-ПК. Он имеет размеры всего 107×107×60 мм и оснащен процессором AMD Ryzen 7 7840HS. Он также предлагает гибкие возможности для расширения системы хранения данных благодаря трем слотам M.2 2280 для SSD PCIe 4.0×4.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Microsoft представила Surface Laptop с обновлённым дизайном и процессором Snapdragon X Изображение с портала The Verge Как и ожидалось, на сегодняшней конференции Microsoft представила новое поколение ноутбука Surface Laptop, которое впервые в линейке построено на ARM-процессорах. Это один из первых ноутбуков с маркировкой Copilot+. Компания предложит покупа...

AMD выпустит новые графические процессоры для ноутбуков RX 7700M/7800M на CES 2024 По данным TechPowerUp, AMD вскоре выпустит видеокарты для ноутбуков на базе графического процессора Navi 32. Речь идёт о моделях под названием RX 7700M и RX 7800M. До этого момента AMD выпустила различные графические процессоры для ноутбуков серии RX 7000, включая высок...

В России разработали дроны для маркировки айсбергов на Северном морском пути Российская компания "Дрон солюшнс" представила беспилотные летательные аппараты, разработанные специально для маркировки айсбергов и ледовой проводки на Северном морском пути. Новые устройства, "Шершень" и "Аист", будут ключевыми инструментами в обеспечении безопасности судо...

Почему процессоры ARM вытесняют с насиженных мест процессоры х86 и какая в этом роль компании Apple Процессоры ARM развиваются очень динамично, не в пример вялотекущему развитию процессоров х86. Процессоры ARM кроме занятой ими ниши мобильных устройств покусились и на исторически устоявшийся ареал обитания процессоров х86. А компания Apple внесла в эту экспансию свой больш...

Компания Tiny Corp предлагает системы ИИ на базе AMD и NVIDIA по цене от $15 тыс. Ранее Tiny Corp уже сотрудничала с AMD над оптимизацией программного обеспечения для искусственного интеллекта, и теперь они предлагают системы, использующие графические процессоры NVIDIA.

Xbox и сериал "Fallout" представили уникальный продукт - Xbox и Fallout Vault Box Xbox и сериал "Fallout" объявили о своем сотрудничестве и представили уникальный продукт - Xbox и Fallout Vault Box

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

В компании Сбер разработали «умную» систему киберзащиты Сбер разработал интеллектуальную систему защиты от кибератак

Американская компания разработает лунную железную дорогу DARPA объявило о заключении контракта с Northrop Grumman на разработку концепции лунной железной дороги в рамках 10-летнего проекта Лунной архитектуры (LunA-10).

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Новые процессоры MediaTek будут иметь графику NVIDIA Согласно последним новостям, компания NVIDIA лицензировала свои графические процессорные ядра (GPU IP) фирме MediaTek для использования в следующем поколении автомобильных процессоров

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

HUAWEI готовит обновление процессора Kirin 9000s для смартфонов и планшетов Надёжный инсайдер под ником Digital Chat Station сообщил, что HUAWEI готовит к выпуску новую модификацию процессора Kirin 9000s. По его словам, компания также работает над двумя устройствами на базе будущего чипа — одно из них недавно было замечено в Geekbench

Глобальная версия Nubia Red Magic 9 Pro стоит практически как в Китае Спустя месяц после китайского релиза бренд Nubia объявил о глобальном выходе Red Magic 9 Pro — первого и пока что единственного полноценного игрового смартфона на базе новейшего процессора Snapdragon 8 Gen 3

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

Новинки от Huawei: седан и кроссовер с расширенными функциями помощи при вождении Компания Deepal объявила, что ее седан SL03i и кроссовер S7i, оснащенные расширенными функциями помощи при вождении, поступят в продажу 27 октября в Китае. Deepal является совместным предприятием Huawei, CATL и Changan. Стоит добавить, что ранее были выпущены SL03 и S7 ...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Стартап Kurs Orbital привлёк $4 млн для разработки новой технологии обслуживания спутников 7 марта стартап Kurs Orbital, занимающийся разработкой космических технологий для обслуживания спутников, объявил о получении начального финансирования в размере $4 000 000.  Основанная в 2021 году бывшим директором Украинского космического агентства Владимиром Усо...

Axiom Space в коллаборации с итальянским модным домом Prada работает над разработкой космических скафандров для будущих астронавтов NASA, которые совершат высадку на Луну в рамках миссии Artemis 4 октября Axiom Space и итальянский модный дом Prada объявили, что будут сотрудничать в разработке скафандров Axiom для миссии Artemis, начиная с Artemis 3 в 2025 году. Это коллаборация для заказа NASA в рамках контракта на $ 228,5 миллионов, полученного в сентябре...

В «Яндексе» разработали электровелосипед для курьеров Компания «Яндекс» разработала и представила электровелосипед для своих курьеров

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Aston Martin представляет обновленного гонщика Vantage GT3 Aston Martin представила новый Vantage GT3, разработанный в сотрудничестве с Aston Martin Racing.

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

«Ростелеком» и Farzoom объявили о запуске нового облачного факторингового конвейера В рамках Форума инновационных финансовых технологий FINOPOLIS компания Farzoom анонсировала подписание договора с банком «Солидарность» о совместном запуске принципиально нового факторингового конвейера на базе отечественной платформы Farzoom X по партнерской модели с интегр...

NEOWIZ представила сервис Adventure на своей игровой Web3 платформе Intella X Крупнейшая южнокорейская игровая компания NEOWIZ представила сервис Adventure на своей игровой Web3 платформе Intella X. Новая функция платформы представляет собой значительный шаг вперед в преодолении разрыва между традиционными геймерами и инновационным ландшафтом технолог...

Представлен телевизор Philips PML9009 Компания TP Vision пополнила ассортимент телевизоров сериями Philips PML9009 и Philips PUS8909, которые появятся в продаже в мае и июне соответственно. Первые получили матрицы DCI WCG с подсветкой Mini LED подсветкой, диагоналями 55, 65, 75 и 85 дюймов, пиковой яркостью 1000...

Lenovo представила ноутбук Xiaoxin Pro 14 2024 Ryzen Edition Компания Lenovo официально представила компактный ноутбук Lenovo Xiaoxin Pro 14 2024 Ryzen Edition, цена и дата начала продаж которого будут объявлены позже. Новинку оснастили восьмиядерным процессором AMD Ryzen 7 8845H с мощной интегрированной видеокартой AMD Radeon 780M, 3...

AMD празднует 55-летие Компании AMD вчера исполнилось 55 лет. Она была основана 1 мая 1969 года бизнесменом Джерри Сандерсом и семью его коллегами, которые до этого работали в Fairchild Semiconductor.   Довольно долго AMD не была той компанией, о которой знают буквально все, кто хоть не...

Подъехал к станции, заменил аккумулятор за три минуты и поехал дальше. Nio расширяет инфраструктуру по замене батарей у электромобилей Китайская компания Nio вкладывает средства в расширение сети станций по замене батарей у электромобилей, чтобы получить преимущество в развитии этой инфраструктуры.  фото: Bloomberg/Getty Images С ноября 2023 года Nio заключила партнерские отношения минимум с четы...

Dynabook GA83/XW – ноутбук весом 875 грамм работает 24 часа без подзарядки Компания Dynabook, ранее принадлежащая Toshiba, анонсировала корпоративный ультрабук Dynabook GA83/XW. Новинка работает на базе процессора AMD и обладает рядом интересных функций, включая впечатляющее время автономной работы. ОсобенностиНижняя часть Dynabook GA83/XW и…

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Phison объявила о стратегическом партнерстве с компаниями ASUS, Gigabyte, MAINGEAR и MediaTek Компания Phison Electronics объявила о стратегическом партнерстве с компаниями ASUS, Gigabyte, MAINGEAR и MediaTek для внедрения и продвижения своего решения aiDAPTIV+

Samsung может отказаться от GPU AMD в пользу собственных разработок В последнее время появляются сообщения о возможных планах компании Samsung по разработке собственного уникального графического процессора, что может привести к изменению условий сотрудничества с компанией AMD.

Google представила свой новый процессор Axion, и это снова Arm Компания Google представила свой собственный процессор под названием Axion. Название, видимо, давно в честь аксионов — гипотетических элементарных частиц, которые, кроме прочего, могут составлять тёмную материю.  Google Axion создан на основе архитектуры Arm...

Lenovo представила новые ноутбуки ThinkPad и IdeaPad на базе процессоров Intel Core Ultra В преддверии выставки CES 2024 компания Lenovo представила новые ноутбуки для бизнеса и потребителей, предназначенные для раскрытия новых возможностей искусственного интеллекта и повышения производительности, креативности и эффективности

GEMBLA - Ваш Источник Знаний о Партнерском Маркетинге GEMBLA – это уникальная платформа, объединяющая обширную базу знаний о партнерском маркетинге и множество партнерок и сервисов для SEO, SMM и Affiliate marketing. С нами вы сможете получить доступ к огромному количеству ресурсов, помогающих в развитии вашего бизнеса и увелич...

Apple представила процессор M4 На сегодняшнем мероприятии, посвящённом новым планшетам iPad, компания Apple официально представила совершенно новый процессор M4, который поставляется внутри нового iPad Pro — как предполагалось инсайдерами на прошлой неделе. M4 поставляется с самым быстрым нейронным процес...

Chat with RTX выпустили на Windows Вчера компания NVIDIA официально представила чат-бота Chat with RTX для Windows, который работает на базе TensorRT-LLM и доступен бесплатно для всех желающих. Стоит отметить, что приложение Chat with RTX чрезвычайно простое в использовании — утилита разработана как локальная...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Meizu никуда не собирается. Компания обещает представить целых три новинки в мае В феврале компания Meizu объявила о своем уходе с рынка смартфонов, чтобы полностью сосредоточиться на искусственном интеллекте и сосредоточиться на разработке устройств с искусственным интеллектом. Вскоре после этого компания выпустила телефон Meizu 21 Pro. Сегодн...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

В России запустили первый чат-бот на основе ChatGPT для продвижения на маркетплейсах Российская IT-компания разработала первый бесплатный чат-бот на базе искусственного интеллекта для продавцов, менеджеров и маркетологов о маркетплейсах. Все правила и инструкции Wildberries в одном месте.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Sycom представляет видеокарту GeForce RTX 4080 SUPER с гибридным охлаждением Японский производитель Sycom предложил инновационное решение для своих видеокарт GeForce RTX 4080 Super. Они используют вентиляторы Noctua для охлаждения GPU, что повышает эффективность рассеивания тепла. Эта система уже используется в видеокартах NVIDIA GeForce RTX 4070 и R...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Смартфон на 22000 мАч не желаете? А Oukitel его все равно выпустит Компания Oukitel готовится к выпуску WP19 Pro, прочного мощного аппарата с умопомрачительным аккумулятором емкостью 22 000 мАч. «Монстр» работает на базе процессора Helio G99 SoC, оснащен 12 ГБ оперативной памяти и накопителем емкостью 256 ГБ с возможностью расширения до 2 Т...

Iota начинает сотрудничество с Fireblocks в области токенизации активов Iota Foundation недавно объявил о стратегическом партнерстве с Fireblocks

HYTE объявила о своем первом сотрудничестве с агентством VTuber NIJISANJI EN HYTE, производитель компонентов и периферийных устройств для ПК, объявила о своем первом сотрудничестве с агентством VTuber NIJISANJI EN

Корпорация Intel и United Microelectronics Corporation (UMC) объявили о сотрудничестве Корпорация Intel и United Microelectronics Corporation (UMC) объявили о сотрудничестве в области разработки платформы 12-нанометровых полупроводниковых процессов

Samsung будет производить 3-нм серверные процессоры для неизвестной компании Samsung получила еще один заказ по технологии 3 нм, на этот раз для серверного процессора

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Infinix объявил акционные цены на смартфоны в период до 31 декабря Компания Infinix объявила о финальной распродаже 2023 года, в рамках которой можно приобрести смартфоны, ноутбуки и аксессуары со скидкой до 40%.

Портативный игровой компьютер AOKZOE A2 Ultra будет оснащён процессором Intel Core Ultra 7 155H Похоже, это будет второй крупный запуск портативного устройства на базе процессора Intel Meteor Lake.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

В компании Klarna работу вместо сотрудников теперь выполняет ИИ Финтех-компания Klarna объявила о приостановке набора сотрудников: ИИ-инструменты заменяют работников.

Илон Маск объявил о запуске Starlink в Аргентине Миллиардер Илон Маск подтвердил, что спутниковый интернет Starlink стал доступен на территории Аргентины. Starlink теперь доступен в Аргентине, что делает ее седьмой страной в Южной Америке и 72-й в мире, где люди могут получить доступ к высокоскоростному Интернету с ни...

AMD нарастила долю рынка: отдельная благодарность процессорам Ryzen Согласно отчетам Mercury Research и Jon Peddie Research, компания AMD наращивает свои силы на рынке CPU: процессоры Ryzen набирают обороты в клиентском сегменте, а процессоры EPYC удерживают позиции в серверах.

Ультимативный ноутбук Lenovo Legion 9i с Core i9X, GeForce RTX 4090 Laptop, СЖО, 64 ГБ ОЗУ и 2 ТБ оценили в колоссальные 5400 долларов в Индии Компания Lenovo выпустила новую версию ноутбука Legion 9i на индийском рынке, где он получил стартовую цену 5400 долларов. В США новинка вышла раньше по цене 4400 долларов. Lenovo Legion 9i оснащен 16-дюймовым дисплеем Mini LED, окруженным узкой рамкой. Он получил разре...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

РЖД и Университет Иннополис будут сотрудничать в сфере робототехники Иннополис и Российские железные дороги (РЖД) объявили о запуске совместных проектов в области робототехники, геоинформационных технологий и искусственного интеллекта, пишет ТАСС. Соглашение о сотрудничестве было подписано в рамках форума Digital Innopolis Days.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

Возможно, новые процессоры AMD "Strix Point" и "Strix Halo" будут на базе архитектуры Zen 5 Утечки информации свидетельствуют о разработке новых процессоров AMD "Strix Point" и "Strix Halo" на базе архитектуры Zen 5

HP представил ноутбук нового поколения Spectre X360 на базе процессоров серии Intel Core Ultra Эти ноутбуки «два в одном» будут оснащаться процессорами Core 7 155H или Core 3 125H.

Новый процессор Huawei с ядрами Taishan V120 показал приличную производительность Появились новые результаты тестов будущего серверного процессора Huawei, показывающие уровни производительности, которые конкурируют с ядрами AMD Zen 3. Процессор был замечен на платформе Geekbench, хотя его точное название пока держится в секрете. Тем не менее, его про...

Представлен ноутбук ASUS ExpertBook CX54 Chromebook Plus Компания ASUS пополнила ассортимент ноутбуков моделью ExpertBook CX54 Chromebook Plus, которая основана на процессорах Intel Meteor Lake-U от Core Ultra 5 125U до Core Ultra 7 165U. Новинка также характеризуется 8 или 16 ГБ оперативной памяти, твердотельным накопителем вмес...

«Группа Астра» и «Норникель» подписали меморандум о сотрудничестве Сотрудничество будет направлено на популяризацию отечественных ИТ-решений, научно-техническую проработку подходов к интеграции технологий, апробацию инноваций, консолидацию практического опыта и др.

Mediatek и Nvidia разрабатывают ARM-процессор для ПК, чтобы конкурировать с Qualcomm и Apple Компании Mediatek и Nvidia разрабатывают процессор, конкурирующий с процессорами Snapdragon X Elite от Qualcomm. Процессор, разработка которого, как утверждается, будет завершена в третьем квартале этого года, может появиться в первой половине 2025 года.

Новые 8-ядерные процессоры Loongson будет иметь производительность 12-го поколения Intel Core i7 Генеральный директор компании утверждает, что производительность этих новых процессоров может увеличиться до 50% по сравнению с предыдущими моделями.

Компания Intel объявила о возрождении бренда Altera Intel останется мажоритарным акционером, но планирует привлечь внешние инвестиции для финансирования расширения компании

Эти необычные процессоры AMD содержат 10 ядер Cortex-R52. Компания представила новое поколение адаптивных SoC Versal Компания AMD представила новое поколение адаптивных SoC Versal, разработанных для специализированных механизмов искусственного интеллекта.  В пресс-релизе говорится о Versal AI Edge Series Gen 2 и Versal Prime Series, то есть это две линейки платформ одного семейс...

Телевизоры Toshiba V35ME выходят в России Компания Toshiba TV представила в России телевизоры серии V35ME, в которую вошли модели с диагональю 32 и 43 дюйма. Новинки характеризуются панелями D-LED с разрешением 1366:768 пикселей (формат HD) и 1920:1080 пикселей (формат Full HD) соответственно, кадровой частотой 60 Г...

Официально: Redmi K70 получат SoC Snapdragon 8 Gen 3 Xiaomi выпустит первые смартфоны на Snapdragon 8 Gen 3 – Xiaomi 14 и Xiaomi 14 Pro дебютируют уже завтра, но вслед выйдут более доступные флагманы компании на базе той же однокристальной системы. Об этом уже объявлено официально. Речь о моделях линейки Redmi K70....

В России объявили цены на флагманский смартфон HONOR Magic6 Pro Компания ПАО «МТС» объявила о начале предзаказа на флагманский смартфон HONOR Magic6 Pro, предлагая клиентам еще и «скидку» до 50 000 рублей (планшет в подарок). Продажи новинки стартуют 16 апреля.

Xiaomi 14 Ultra показали на официальных тизерах Компания Xiaomi опубликовала официальные изображения смартфона Xiaomi 14 Ultra, подтвердив его дизайн. Также была объявлена дата официальной презентации флагмана для китайского рынка – 22 февраля. Предполагается, что почти одновременно будет представлена и глобальная версия....

ASUS и Noctua представили 4,3-слотовую RTX 4080 SUPER Noctua OC Edition с корпусными вентиляторами Сотрудничество компаний продолжается.

Xiaomi собирается отказаться от бренда MIUI. Вместо него будет MiOS Компания Xiaomi может отказаться от своего бренда MIUI, с которого фактически и начался пусть компании на рынке.   создано DALL-E в Bing Инсайдер Digital Chat Station говорит, что MIUI 14 будет последней номерной версией оболочки. Само собой, Xiaomi не собирается ...

Nvidia и Amazon создадут суперкомпьютер Ceiba с производительностью 65 ExaFLOPS. Но это производительность не FP32 или FP64 Компании Nvidia и Amazon Web Services (AWS) объявили о расширении своего стратегического сотрудничества, в рамках которого в том числе будет построен самый быстрый суперкомпьютер искусственного интеллекта Ceiba.  Ceiba получит 16 384 системы GH200 Superchips и буд...

Zotac выпустила первый в мире мини-ПК с твердотельной системой активного охлаждения Транснациональная компания Zotac выпускает на рынок первое коммерческое устройство с системой охлаждения на основе технологии AirJet. Она разработана компанией Frore Systems и впервые была показана в 2022 году. По словам авторов новинки, настала пора отказаться от шумных, ви...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)