Социальные сети Рунета
Четверг, 2 мая 2024

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Китай намерен наладить производство 5-нм чипов "любой ценой" SMIC будет поставлять Huawei дорогие и неэффективные 5-нм кристаллы. Используя обходной маневр, связанный с запретом на экспорт, SMIC может достичь выхода годных чипов не более 30-40% по сравнению с более чем 80% у TSMC

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Сокет 775: P965 + Xeon X5460 - свежайшая сборка к новому 2024 году сокет 775 и xeon x5460 все еще годный компьютер для интернета через 15 лет после своего выхода

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Huawei и SMIC разработали технологию SAQP для производства 5-нм чипов Компании Huawei и SMIC разработали технику SAQP, которая позволяет достичь уровня 5 нм в производстве полупроводников

Сокет 775: P965 + Xeon X5460 - свежайшая сборка к новому 2024 году, мой личный опыт сокет 775 и xeon x5460 все еще годный компьютер для интернета через 15 лет после своего выхода

В США считают, что санкции относительно SMIC и Huawei работают хорошо, несмотря на выпуск SoC Kirin 9000S Несмотря на явные успехи китайской компании SMIC, которая смогла вопреки всем санкциям создать для Huawei 7-нанометровую SoC Kirin 9000S, в США считают, что санкции работают хорошо.  Фото: Bloomberg Суть в том, что просто создать какой-то чип недостаточно — ...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Redmi K70E возглавила рейтинг субфлагманов AnTuTu Команда бенчмарка AnTuTu опубликовала очередной рейтинг самых производительных суббфлагманских смартфонов под управлением операционной системы Android. Его возглавил Redmi K70E, основанный на 4-нанометровой однокристальной системе MediaTek Dimensity 8300 Ultra. Отметим, что...

Как у SpaceX: китайская компания смогла посадить возвращающийся ракетный модуль В Китае космическая компания iSpace достигла успехов уровня SpaceX: на Землю удалось вернуть реверсивный ракетный модуль. Это испытательный образец Hyperbola-2Y многоразовой первой ступени перспективной ракеты, название который не раскрывается.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Впервые человек смог достичь максимального уровня в игре Тетрис Сделать это смог 13-летний ребёнок из США

СМИ: Без западной помощи Украина не сможет соперничать с российским военным потенциалом Вроде бы «помощь» показала, что она на вектор движения этого конфликта не повлияла. Не время ли делать единственно верные выводы?

Инсайдеры раскрыли характеристики складного смартфона vivo X Fold 3 Pro Если эта информация подтвердится, по части железа гаджет сможет на равных соперничать с традиционными флагманами

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

В Meta считают, что ИИ не сможет достичь уровня человеческого разума ближайшие пять лет По мнению экспертов, для этого может понадобится десятилетие, или еще больше времени

Проектируем узлы печатной платы с учетом особенностей монтажа при массовом производстве. Подход DFA Сегодня мы рассмотрим, что стоит учесть в конструкторской документации, чтобы защититься от проблем на этапе автоматизированного монтажа компонентов на плате. Я покажу, как можно организовать подбор элементов, их взаимное расположение и разводку для монтажа, чтобы увеличить ...

Samsung — первая компания, получившая заказ на производство 2-нм чипов Samsung достигла важного соглашения с компанией Preferred Networks (PFN). Соглашение между двумя компаниями представляет собой нечто важное.

Вот бы такую память для GeForce RTX 5090. Samsung изготовила первый 16-слойный стек памяти HBM Компания Samsung изготовила первый образец 16-слойного стека памяти HBM.   Образец работал нормально, но пока что компания не собирается запускать такие чипы в массовое производство. Судя по всему, массовыми такие стеки станут уже с выходом HBM4, а до этого ещё ми...

Два в одном: пристройка для дома и трейлер до отдыха на природе. Представлен Kwork Mio Space Японская компания Kwork заключила партнерское соглашение с Lixil, компанией по производству товаров для дома, чтобы создать стильный трейлер Mio Space для любителей активного отдыха на природе. Трейлер Mio Space получился настолько стильныи, что Kwork предлагает исполь...

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Apple сократила заказы на iPad Pro с OLED-дисплеем на 20-30% Trendforce со ссылкой на отчет Aju Korea Daily сообщает, что Apple сократила объем заказов на компоненты для новых iPad Pro с OLED-дисплеями. Это позволяет предположить, что компания ожидает более низкий спрос на планшеты, чем было запланировано ранее.Читать дальше... Prost...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

У нас отмена: на Wildberries появилась кнопка отказа от покупки Российский маркетплейс Wildberries запустил функцию отмены заказа: появилась кнопка, которая позволяет отменить доставку, но только в течение одного часа после оформления заказа или до момента начала его сборки (в зависимости от того, что наступит раньше). О тестировани...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Apple Vision Pro бьёт рекорды продаж - 200 тысяч за 10 дней Некоторое время назад аналитики предсказывали, что компания Apple не сможет продать достаточное количество гарнитур смешанной реальности, потому что для пользователей это слишком дорого. Но после открытия предварительных заказов количество проданных гарнитур Apple Vision Pro...

По мнению военного аналитика Гади, ВСУ не смогут подготовить новых солдат до конца лета Но ситуация за эти 5 месяцев для украинского Генштаба может стать из просто неприятной, достигнув уровня крайне невыносимой

Частная космическая компания Firefly Aerospace намерена стать поставщиком для Национального управления по разведке США, несмотря на неуспешные запуски Компания Firefly Aerospace объявила о намерении принять участие в конкурсе Национального управления по разведке (NRO) на получение контрактов на запуск космических аппаратов. Эта программа была создана, чтобы NRO могло закупать грузовые места на малых спутниках от комме...

Huawei уже заменила компанию Nvidia в Китае: она поставляет ИИ-чипы для Baidu Китайская компания Baidu заказала чипы, предназначенные для использования с ИИ-приложениями, у Huawei, вместо традиционного американского поставщика Nvidia. Это решение было принято после введения США санкций, запрещающих американским компаниям поставлять подобные проду...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

В Московской области до конца года откроют завод по переработке пластиковой тары На первом этапе завод сможет перерабатывать около 500 тонн ПЭТ-материалов, но после выхода на полную мощность эта цифра возрастет до 2 тысяч тонн. Предполагается, что проектная мощность предприятия будет достигнута в начале второго квартала 2024 года.

Near Protocol (NEAR) достиг максимальных с апреля уровней Near Protocol (NEAR) приближается к годовому максимуму, пробив ключевой уровень Фибоначчи 0,618

Near Protocol (NEAR) достиг максимальных с апреля уровней Near Protocol (NEAR) приближается к годовому максимуму, пробив ключевой уровень Фибоначчи 0,618

Слепой геймер достиг 100 уровня в Diablo IV на сложном уровне Свой хардкорный забег Tyleniphe стримил на Twitch

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Средняя зарплата IT-специалистов в России достигла около 400 тысяч рублей Исследование, проведенное группой компаний BestDoctor и hh.ru, обнаружило, что в сфере информационных технологий (IT) в России предлагают самые высокие начальные зарплаты, достигающие 800 тысяч рублей в месяц для технических директоров. Средний уровень заработной платы в отр...

Как работает быстрая зарядка Apple Watch и что для этого нужно Пользователи Apple Watch могут наслаждаться быстрой зарядкой, позволяющей смарт-часам достичь уровня заряда батареи в 80% всего за 45 минут. Эта функция поддерживается различными моделями Apple Watch, включая Apple Watch Series 7, Series 8, Series 9 и модели Ultra.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Meizu сообщила о более 250 000 заказов на смартфон Meizu 21 Компания Meizu официально объявила, что заказы на грядущий флагман Meizu 21 уже превысили отметку в 250 000 единиц.

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Прощайте, уколы пальцев: новое устройство измерения уровня сахара в крови Компания Synex Medical из Торонто, поддерживаемая генеральным директором OpenAI Сэмом Альтманом, представила революционное устройство, позволяющее отслеживать уровень глюкозы в крови без болезненных уколов пальцев.

Компания Phanteks начала принимать заказы на 5,5-дюймовые дисплеи для ПК По заявлению производителя, они смогут прекрасно дополнить визуальную составляющую системы и усовершенствовать настройку ПК

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Лучшие бесплатные курсы и ресурсы для изучения машинного обучения В этой статье я собрал огромную коллекцию курсов, книг, и ресурсов для всех, кто любит и изучает машинное обучение. Сохраняйте себе эту подборку, чтобы не потерять, по мере выхода новых курсов, подборка будет обновляться.Благодаря этим бесплатным курсам, вы сможете расширить...

Xiaomi SU7 бьёт рекорды продаж ещё до старта продаж Компания Xiaomi, которая ранее была известна исключительно электроникой уровня смартфонов или планшетов, вышла на рынок электромобилей с ошеломительным успехом. Например, сегодня производитель официально сообщил, что компания Xiaomi получила более 100000 предварительных зака...

Qualcomm представила альтернативу флагманским процессорам - Snapdragon 8s Gen 3 Компания Qualcomm официально представила Snapdragon 8s Gen 3, о котором в последнее время ходят слухи. Что же предложит платформа, которая будет соперничать с флагманскими процессорами?

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Peregrine столкнулся с аномалией. Astrobotic борется за успех миссии на Луну После исторического запуска ракеты Vulcan, частный лунный посадочный модуль Peregrine столкнулся с аномалией, сообщает Astrobotic. Компания реагирует на события в режиме реального времени и планирует предоставлять обновления по мере поступления и анализа новых данных. М...

Уровень парниковых газов в атмосфере достиг рекордных значений в 2023 году Неумолимый рост концентрации парниковых газов в атмосфере не подает признаков замедления. Новые данные NOAA свидетельствуют о рекордно высоких уровнях углекислого газа и метана в 2023 году.

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

ИИ-компания разместит плавучую платформу с 10 000 ускорителями Nvidia H100 в международных водах Del Complex надеется, что плавающие посреди океана вычислительные кластеры позволят ей достичь уровня автономности, который вряд ли возможен на суше.

CD Projekt ведёт переговоры со студиями по разработке мобильных игр Во время финансового отчёта перед инвесторами польской студии CD Projekt задали вопрос: «Вы думали над продажей прав на разработку мобильных игр по своим крупным франшизам третьим студиям?». Ответ дал генеральный директор, Михал Новаковски. Он сказал, что CD Projekt действит...

Компания Xiaomi улучшит качество камер в линейках Xiaomi 13 и Xiaomi 14 до уровня Xiaomi 14 Ultra Произойдет это в течение ближайших месяцев, с выходом соответствующего обновления ОС.

ИИ-гендиректор уже больше реальность, чем фантазия Соучредитель Google DeepMind Мустафа Сулейман делает смелый прогноз: в скором времени искусственный интеллект сможет создавать собственные предприятия и управлять ими, став генеральным ИИ-директором. Хотя на данный момент эта идея может показаться надуманной, Сулейман утверж...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Новый владелец завода Mercedes-Benz в России заработал 60 млрд рублей за год. Средняя цена новой машины в «Автодоме» составила 12,8 млн рублей Компания «Автодом», ставшая в минувшем году владельцем российских активов Mercedes-Benz, отчиталась о впечатляющих финансовых результатах. Совокупный доход от различных услуг, в том числе от реализации новых машин, достиг внушительной суммы в 60 миллиардов р...

Компания Matsuko разработала голограммную телефонию, позволяющую видеть собеседника в 3D Так называемая голограммная телефония позволяет видеть во время звонка собеседника в виде трехмерного изображения. Соответствующая технология была представлена на проходящей в конце февраля выставке MWC. Выход готового продукта на рынок для частных клиентов запланирован на 2...

Китаю не нужны "кастрированные" чипы Nvidia — решения от Huawei дешевле и эффективнее Цзян Тао, вице-президент iFLYTEK, занимающейся разработкой AI-решений, сообщил, что его компания использует для вычислений чипы Ascend 910B от Huawei. Он отметил, что этот процессор достиг "эталонного" уровня Nvidia A100

MediaTek заключила контракт с Apple на поставки модулей Wi-Fi 7 Согласно информации издания Economic News Daily, компания MediaTek выиграла заказы на чипы Wi-Fi 7 у ведущего американского производителя, что угрожает монополии Broadcom в области модулей связи для планшетов. Учитывая, что Apple в настоящее время является наиболее доминирую...

Первый легендарный мобильный GPU: каким был PowerVR MBX Lite? Пишем игру-демку про «жигули» с нуля Пожалуй, многие из вас помнят, какими были мобильные игры до и после выхода первого iPhone. В начале 2000-х годов, ещё до появления яблочного смартфона, игры для телефонов в основном были весьма интересными, но тем не менее, достаточно простенькими с точки зрения графики и...

iPhone 16 Pro выйдет только через полгода, а первые результаты тестов Apple A18 Pro уже появились в Сети Первые результаты тестирования однокристальной системы Apple A18 Pro, которая должна быть установлена в iPhone 16 Pro, появились сегодня в Сети. Устройство под управлением Apple A18 Pro набрало 3570 и 9310 баллов в одноядерном и многоядерном тестах Geekbench 6, что на 2...

Выручка от реализации 3-нм изделий TSMC достигнет в этом году 4-6% совокупной За целый год компания не смогла продвинуться дальше, пока Apple не начала получать свои 3-нм процессоры к осени.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Apple рассказала, как правильно покупать iPhone с рук Годные советы!

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Соединённые Штаты планируют расширить подсанкционный список китайских компаний Администрация Байдена стремится не дать Китаю достичь такого же уровня технологического развития, на котором находятся США

Envision Energy стала лидером по зарубежным заказам на ветровые турбины среди китайских OEM Компания Envision Energy, мировой лидер в области возобновляемых источников энергии, в 2023 году обеспечила себе ведущие позиции по количеству зарубежных заказов на ветровые турбины среди китайских OEM-производителей. Это достижение, как сообщает ведущая консалтинговая компа...

Toyota в четвертый раз подряд обошла Volkswagen и установила абсолютный рекорд по продажам и производству машин в 2023 году В течение 2023 календарного года ведущий японский автомобильный концерн Toyota, включая его дочерние компании Daihatsu и Hino, достиг рекордных продаж, которые включают 11,23 миллиона автомобилей, грузовиков и автобусов по всему миру. Этот показатель стал самым высоким ...

Билл Гейтс оценил современную борьбу с климатическим кризисом: что нас ждет В своем заявлении Билл Гейтс дает луч надежды на фоне климатических проблем, утверждая, что революционные инновации являются ключом к борьбе с изменением климата. Гейтс подчеркивает ключевую роль технологий и говорит о том, что без революционных идей мы не сможем достичь нул...

Глобальная цель АвтоВАЗа на 2023 год достигнута не будет – завод не сможет выпустить 400 тыс. автомобилей АвтоВАЗ поставил на текущий год амбициозную цель произвести 401 тыс. автомобилей. Однако достигнуть этого у компании не получится: план производства будет скорректирован до 370 тыс. авто. Об этом, как пишет инсайдерский паблик Avtograd News, сообщил вице-президент по пр...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Согласно опросам, 50% руководителей компаний готовы заменить людей на умные алгоритмы на базе ИИ ИИ ещё не достиг нужного уровня развития, а руководство компаний уже мечтает о том, как бы побыстрее избавиться от сотрудников и получать больше прибыли

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Wood Mackenzie: Объем заказов на ветряные турбины в Китае достиг рекордных 100 ГВт в 2023 году Согласно новому исследованию Wood Mackenzie, в 2023 году объем заказов на ветряные турбины в Китае достиг 100 ГВт, что станло новым рекордом.

Новейший Tank 300 с 3,0-литровым турбомотором V6 стал хитом в Китае: заказов в 3,5 раза больше, чем количество машин в первой партии Бренд Tank поделился первыми итогами предпродажи новейшего Tank 330 (это версия Tank 300 с 3,0-литровым турбомотором V6 мощностью 354 л.с.). Автомобиль, напомним, представили в минувшую субботу, а уже сейчас, за 72 часа с момента премьеры, объем заявок на покупку достиг...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Управлять доставками теперь можно через бизнес-профиль в «Яндекс Go» Команда Яндекса объявила о запуске нового сервиса в рамках «Яндекс Доставки». Стал доступен специальный интерфейс для малого и среднего бизнеса в приложении «Яндекс Go». Теперь предприниматели могут создать в приложении профиль своей компании, пр...

На Apple TV+ вышел неонуар «Шугар» с Колином Фарреллом в главной роли Годный сериал.

700 млн устройств, 84 млн активных пользователей, отличные продажи смартфонов и рекордная прибыль. Xiaomi сообщила об отличном квартале Xiaomi опубликовала финансовый отчет за третий квартал 2023 года, который показывает, что валовая прибыль и денежные резервы Xiaomi достигли рекордного уровня в этом квартале. Общий доход достиг почти 10 млрд долларов, а скорректированная чистая прибыль достигла 840 млн...

Ракета Vega запущена с космодрома Куру Ракета-носитель Vega с двумя спутниками стартовала с космодрома Куру во Французской Гвиане. Ракета вывела на орбиту таиландский спутник для наблюдения за поверхностью Земли Theos-2 и метеорологический спутник Formosat-7R/Triton Тайваньского космического агентства. Запу...

Samsung Galaxy S24+ с Exynos 2400 сравнили с Galaxy S24 Ultra со Snapdragon 8 Gen 3 в играх Неожиданно, но Exynos 2400 оказался весьма производительным чипом, который на равных соперничает со Snapdragon 8 Gen 3.

«Страна.ua»: военнослужащие ВСУ жалуются, что инвалидов и больных признают годными к службе В ВСУ пожаловались на признание годными к службе инвалидов и больных

Представлен Nissan GT-R 2025 с уменьшенной «турбоямой» Nissan представил GT-R 2025 года в Японии, где суперкар поступит в продажу в июне. Единственное механическое изменение касается моделей Premium Edition T-spec и Track Edition, которые унаследовали начинку от Nismo Special Edition. Речь идет о сбалансированных по массе ...

Официально: Sea of ​​Thieves, Grounded, Hi-Fi RUSH и Pentiment выйдут на PlayStation Команда Xbox объявила, что этой весной Sea of ​​Thieves, Grounded, Hi-Fi RUSH и Pentiment станут доступны на платформе Sony PlayStation. Некоторые из этих игр также доберутся до Nintendo Switch. Являясь издателем и держателем платформы, Microsoft стремится предлагать игры б...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Эксперты iFixit похвалили диагностический инструмент Apple для самостоятельного ремонта гаджетов Действительно годная вещь.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Bitcoin добрался до своего исторического максимума Сегодня достаточно известная криптовалюта Bitcoin официально достигла своего нового исторического максимума, оправдывая предсказания различных специалистов о том, что данная криптовалюта в будущем может достигнуть отметки в 200 тысяч долларов за одну цифровую монету. Букваль...

AMD поможет создать многокристальные чипы для американских военных. Компания заключила контракт с Raytheon Компания Raytheon, которая является главным оборонным подрядчиком США, заключила контракт с AMD на создание многочиповой упаковки.  фото: AMD Контракт на сумму в 20 млн долларов подразумевает разработку многочиповой упаковки нового поколения для использования в на...

Компания Phison Electronics представила полный спектр контроллеров Universal Flash Storage Контроллеры позволяют достичь высокой скорости чтения и записи данных, улучшают стабильность и срок службы накопителей

В «Яндекс Еде» начали доставлять заказы из «Бургер Кинга» с помощью роботов Команда Яндекса объявила о новой возможности для пользователей. С помощью «Яндекс Еды» в Москве теперь можно сделать заказ в «Бургер Кинга» с доставкой роботом-курьером. Услуга уже доступна жителям района Лесной улицы, сервис планирует ввести её ...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

В России на уличных экранах будут показывать старты космических ракет Наконец-то годный контент, а не реклама.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Audi R8 — всё. Последний автомобиль сошёл с конвейера, замены ему не предвидится После того, как последний TT был выпущен в ноябре 2023 года, еще одна модель Audi уходит на покой. Последний R8 сошел с конвейера на заводе Audi Bollinger Hofe в Хайльбронне, Германия. Последней машиной стало купе Vegas Yellow в исполнении Performance Quattro Edition с ...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

The New York Times: Украина и Израиль соперничают за военную помощь от США Потребности стран отличаются, но у обеих все равно есть одинаковые нужды.

Проект Kuiper от Amazon готов соперничать со Starlink, обеспечивая на тестах скорость до 1 Гбит/c Тестирование с партнёрами начнётся в следующем году

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Умопомрачительный взлет Nvidia на фоне ограничений Китая: выручка $18,1 млрд В своем последнем финансовом отчете компания Nvidia побила рекорды, рассказав о доход в размере 18,1 млрд долларов за квартал, закончившийся 29 октября. Прибыль достигла невероятного уровня - $4,02 на акцию и $9,2 млрд чистой прибыли, что привело экспертов отрасли в восторг.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Поставщиком титана для Samsung Galaxy S24 Ultra выступит китайская компания Solomon Корейский ресурс TheElec рассказал подробности о будущем флагмане Samsung Galaxy S24 Ultra. Он подтвердил, что боковая рамка Galaxy S24 Ultra будет выполнена из титанового сплава, а поставщиком этого сплава выступит китайская компания Solomon. Источники TheElec со...

В «умных» телевизорах Яндекса появился удобный способ смотреть кино и фото Плюс один годный способ

Наконец-то у Intel будет конкурент для GeForce RTX 3050. Видеокарта Arc A580 будет соперничать с этой моделью и заодно с Radeon RX 6600 Видеокарта Intel Arc A580, которая наконец-то должна выйти на рынок спустя более чем год после анонса, будет конкурировать с GeForce RTX 3050 и Radeon RX 6600.  По крайней мере это следует из слайда Intel, который попал в Сеть. Если посмотреть на текущее положение...

Дешевле, чем RTX 4060 Ti 16GB, и при этом ощутимо мощнее. Radeon RX 7700 XT официально подешевела Компания AMD официально снизила цену своей видеокарты Radeon RX 7700 XT.  Снижение в абсолютном отношении невелико — всего 30 долларов. Но и сама карта в целом относительно недорогая. В итоге речь идёт о снижении с 450 до 420 долларов.  Стоит сказать, ч...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Курс акций NVIDIA достиг исторического максимума Выход на рынок новых видеокарт стал формальным поводом для такого роста.

Новый процессор Kirin для Mate 70 достигнет уровня производительности Snapdragon 8 Plus Gen 1 Новый 5-нм Kirin чип вряд ли появится в Huawei P70

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Чип от Neuralink в мозгу позволяет сыграть в Mario Kart В последние годы технологии нейроинтерфейсов достигли невероятного прогресса, и компания Neuralink, основанная Илоном Маском, стоит на пороге этого научного направления. Недавно они представили миру поразительные достижения в этой области, которые могут радикально изменить ж...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Программа для таксопарка и её преимущества Программа для таксопарка – это специальное программное обеспечение, которое помогает управлять и контролировать работу такси-компании. Она позволяет автоматизировать процессы заказа и отслеживания поездок, управления автопарком, расчета заработной платы водителям и многое др...

В США назвали оружие, за поставки которого будут соперничать Украина и Израиль в ближайшие месяцы По данным The New York Times, США уже исчерпали часть складских запасов

Названа статистика браузеров за январь 2024 от Statcounter Microsoft Edge достиг рекордного уровня в почти 13%

Американские военные разрабатывают собственный экраноплан грузоподъемностью 100 тонн Дочерняя компания Boeing — Aurora Fligth Sciences представила обновленную версию дизайна тяжелого транспортного экраноплана Liberty Lifter, который она разрабатывает по заказу агентства DARPA с 2022 года. В соответствии с проектом, он сможет перевозить полезный груз весом до...

ASML отметила первую установку инструмента для EUV-литографии Twinscan NXE:3800E с низким уровнем АН Компания ASML отметила важную веху - в своих социальных сетях она поделилась новостью о том, что ее инструмент для экстремальной ультрафиолетовой (EUV) литографии третьего поколения достиг неназванного заказчика

Акции TSMC достигли исторического максимума Компания Taiwan Semiconductor Manufacturing Co. (TSMC) достигла своего исторического максимума, следуя глобальному взлету акций чипов, обусловленному оптимизмом по поводу искусственного интеллекта.

Пользователи Лавки смогут совершать покупки голосом с помощью Алисы Пока такой заказ товаров доступен в Москве и Санкт-Петербурге

Графические карты Moore Threads получили поддержку OpenGL 3.3 и прирост FPS до 80% Максимальный прирост производительности был достигнут в игре PUBG благодаря выходу новых драйверов с многочисленными оптимизациями

Компания GeniusBOS вывела на рынок линейку трансформаторов среднего напряжения мощностью от 1 до 10 кВА Компания GeniusBOS объявила о получении заказов на трансформаторы среднего напряжения для поставки на внутренний рынок США. Компания намерена продолжить работу в этом важном сегменте своего ассортимента продукции. Эндрю Уорден (Andrew Worden), генеральный директор Geniu...

Всех сотрудников Slack заставили неделю проходить онлайн-курсы Начиная со следующей недели, сотрудники Slack переключат свое внимание с работы на платформу онлайн-обучения Trailhead компании Salesforce в рамках общекорпоративной инициативы. Цель акции - достичь уровня «Рейнджер» в Trailhead, что обычно предполагает около 40 часов обучен...

Immutable (IMX) впервые с апреля 2022 года поднялся выше $2 Immutable стремительно рос с октября, достигнув сегодня максимума на уровне $2

AMD рассматривает Samsung в качестве партнёра для производства чипов Zen 5c Samsung удалось достичь уровня производительности и энергоэффективности TSMC для 4-нм чипов, что и привлекло AMD.

Россияне наели почти на 1 трлн рублей: сколько потратили на заказ продуктов в интернете в 2023 году, рассказали «Ведомости» В 2023 году россияне увеличили свои расходы на заказ продуктов через интернет на 47%, сумма достигла 917,5 млрд рублей, согласно данным исследования Infoline, о чем сообщила газета «Ведомости». Аналитическая компания Data Insight оценила объем доставки скоро...

Яндекс Маркет перестанет ограничивать продажи из-за индекса качества Продавцы смогут получить безлимитное число заказов в сутки

Военнослужащие, имеющие инвалидность, всё чаще оказываются на линии боевого соприкосновения По словам украинского нардепа, вместо выполнения работы в тылу, ограниченно годных отправляют на штурм российских окопов

На Украине бывших ограниченно годных к службе граждан разделят на четыре категории Руководство найдёт место в армии для каждого украинца призывного возраста.

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

В РФ будут выпускать до 10 000 реактивных Гераней в год по цене 48 800 долларов за единицу Уровень локализации производства в РФ достиг 90%

На Deribit зафиксирован исторический максимум показателей для BTC и ETH опционов Цена биткоин (BTC) и эфир (ETH) опционов на Deribit достигла рекордного уровня

Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 2024 году Мировые мощности по производству полупроводников достигнут рекордно высокого уровня в 30 миллионов пластин в месяц в 2024 году

Названы характеристики камеры самого быстрого в мире Android-cмартфона Основная камера нового флагманского смартфона Vivo X100 Pro оснащена 1-дюймовым датчиком изображения Sony IMX989 разрешением 50 Мп, а также объективом с диафрагмой F/1,75. Есть поддержка оптической стабилизации изображения OIS. Кроме того, vivo X100 Pro оснащен перископ...

«Мы не хотим сдаваться», — Toyota не откажется от спортивных автомобилей с двигателем внутреннего сгорания. Они могут обрести новую жизнь благодаря водороду Toyota полагает, что спортивные автомобили с двигателями внутреннего сгорания смогут получить новую жизнь благодаря переходу на водород. Анонс новых электромобилей Toyota вовсе не означает, что двигатель внутреннего сгорания находится на последнем издыхании. Менеджер Ga...

В десятки раз слабее Nvidia H100, но зато полностью собственная разработка. Loongson представила ускоритель для ИИ LG200 Китайская компания Loongson выпускает не только одни из самых современных китайских процессоров, но и GPU. И её новая разработка призвана потягаться с ускорителями Nvidia для ИИ, правда, далеко не самыми производительными и современными.  создано DALL-E Ускоритель...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Apple не сможет ремонтировать часы Apple Watch в США из-за ... Из-за запрета на импорт часов Apple с функцией измерения уровня кислорода компания не сможет ремонтировать такие устройства в США после 25 декабря

Военнослужащие с инвалидностью всё чаще оказываются на линии боевого соприкосновения По словам украинского нардепа, вместо выполнения работы в тылу ограниченно годных отправляют на штурм российских окопов

ROG Phone 8 Pro смог опередить iPhone 15 Pro Max на 7,5% в игре Call of Duty Mobile Warzone ROG Phone 8 Pro смог опередить iPhone 15 Pro Max на 7,5% в игре Call of Duty Mobile Warzone, но это было достигнуто только на настройках "Высокая" графика

SpaceX готовится к третьему испытанию Starship Super Heavy 14 марта Starship Super Heavy — первая полностью многоразовая пусковая система и самая мощная ракета в истории. Этот проект является ключевым элементом в стратегии компании SpaceX по созданию широкополосного спутникового интернета, доставке экипажей и грузов на Луну и осущ...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Как отменить ошибочный заказ на Wildberries? Появилась долгожданная кнопка! Wildberries прислушался к пожеланиям пользователей и добавил кнопку для отмены заказа до его отправки.

Эталонная модель AMD Radeon RX 7900 GRE появилась в Великобритании Эталонная модель AMD Radeon RX 7900 GRE 16 ГБ достигла берегов Великобритании, хотя и очень ненадолго и с очень низким уровнем запасов

Криптокиты продолжают накапливать мемкоин Dogwifhat (WIF) Мем-монета Dogwifhat (WIF) всего за 12 часов подорожала более чем на 100%, с 1 до 2 долларов, и достигла рекордных уровней

Выручка SK hynix в первом квартале выросла на 144 % год к году и достигла рекордного уровня Чистая прибыль SK hynix составила 1,4 миллиарда долларов

ETH откатился от годового максимума. Устоит ли отметка $2000? 9 декабря Ethereum достиг нового годового максимума на уровне $2403, но затем резко упал

Роботы-курьеры Яндекса бесплатно доставят заказы из «Вкусно — и точка» Команда Яндекса сообщила о запуске нового сервиса для сети заведений быстрого питания «Вкусно — и точка», которая открылась в России вместо McDonald’s. Теперь пользователи «Яндекс Еды» в Москве смогут получать заказы из заведений &laq...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

ASUS готовит к выходу игровую консоль ROG Ally 2 Точная дата и характеристики пока не сообщаются, но выход второго поколения подтвержден руководством компании

В любую точку мира за час: онлайн-заказы из Китая собираются доставлять на ракетах Китайская аэрокосмическая компания Space Epoch объявила о сотрудничестве с онлайн-магазином Taobao, который принадлежит китайскому холдингу Alibaba Group. Партнёры намерены развивать быструю доставку заказов по всему миру с помощью многоразовых ракет. Ожидается, что так...

Nvidia достигла капитализации в $2 триллиона В пятницу Nvidia достиг исторической отметки, впервые превысив рыночную стоимость своих акций на 2 триллиона долларов. Этот скачок был вызван оптимистичным отчетом компании Dell Technologies, одного из крупнейших клиентов, и отражает бурный рост рынка искусственного интеллек...

Nvidia только начала расти? Поставки серверов компании для ИИ в следующем году вырастут на 150% Компания Nvidia уже достигла невероятных высот благодаря ажиотажу вокруг искусственного интеллекта, и в следующем году рост продолжится.   Согласно прогнозам аналитика Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), в 2024 году поставки серверов Nvidia для ИИ в...

Microsoft Paint получает поддержку слоёв и прозрачность Приложение Paint, входящее в операционную систему Windows, существует уже десятилетия и практически без изменений. Однако теперь оно станет немного функциональнее, что позволит ему соперничать с некоторыми профессиональными пакетами, вроде Photoshop.

Ютубер EmuTesting тестирует эмулятор RPCS3 на Android в играх Demon's Souls и Metal Gear Solid 4 Крупные игровые студии и издатели потихоньку портируют свои проекты не только на Mac, но и на iPad M1/M2 и iPhone 15 Pro / 15 Pro Max. Android-геймеров пока что обделяют из-за пиратства и большого числа устройств, под которые надо оптимизировать игру — даже Warzone Mobile ло...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Но зато не 50-70 тысяч долларов. Nvidia начала принимать заказы на ускоритель H20, созданный для китайского рынка Компания Nvidia, как сообщается, начала принимать заказы на ускорители H20, созданные специально для китайского рынка после очередного ужесточения санкций США.  H20 был анонсирован вместе с L20 и L2 ещё ноябре, но затем стало известно, что выход как минимум старше...

Как я нашёл работу геймдев разработчиком в США из России. Размер и способ оплаты, английский, где искать Я геймдев разработчик, и 6 месяцев назад я нашёл работу на США из РФ. В этой статье рассказываю как я это сделал. Подкрепил слова скриншотами переписок, звонков, контрактом.Рассказал про:• Размер и способ оплаты• Уровень английского• Сайты для поиска вакансий• Резюме и сопро...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Три новые модели вертикальных беспроводных пылесосов Dreame Trouver J Для заказа в Merlion стали доступны три новые модели вертикальных беспроводных пылесосов Dreame Trouver J-серии. Напомним, что в феврале 2024 года компания Merlion подписала контракт об эксклюзивной дистрибуции с компанией Dreame Technology. Первой моделью, представленной в...

Из-за ИИ в IT-сфере США уровень безработицы выше, чем в среднем по стране В сентябре текущего года показатель безработицы в IT-секторе США достиг 4,3%, что существенно превышает общенациональный показатель в 3,8%.

Доходы майнеров Биткоинов достигли рекордного уровня Согласно исследовательскому отчету Bitget доходы майнеров Биткоинов от внутрисетевых комиссий выросли с 1,7% в августе до 19,57% к ноябрю

Индекс страха и жадности обновил двухлетний максимум Криптовалютный индекс страха и жадности достиг уровня 72, что является наивысшим значением за последние два года

В новом трейлере Goddess Order показали пиксельный мир и играбельных персонажей Издатель Kakao Games и студия PixelTribe опубликовали пресс-релиз по мобильной игре Goddess Order. В нём сообщили о выходе нового трейлера, который показывает красоты пиксельного мира; он станет доступен геймерам со всего мира уже во 2 квартале этого года. Goddess Order п...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Курс акций TSMC вырос до рекордного уровня С момента выхода акций на биржу в 1994 году.

CNN: В США договорились по уступкам в миграционной политике Белый дом смог достичь компромисса с республиканцами в соглашении о миграционной политике

Роботы-курьеры Яндекса начали доставлять заказы из «Вкусно – и точка» Пользователям Яндекс Еды в Москве заказы из предприятий сети «Вкусно - и точка» начали привозить роботы-доставщики Яндекса. Услуга уже доступна для жителей района Хамовники. При наличии свободного робота приложение предложит его при оформлении заказа - такая доставка будет б...

Роботы-курьеры Яндекса начали доставлять заказы из «Вкусно – и точка» Пользователям Яндекс Еды в Москве заказы из предприятий сети «Вкусно - и точка» начали привозить роботы-доставщики Яндекса. Услуга уже доступна для жителей района Хамовники. При наличии свободного робота приложение предложит его при оформлении заказа - такая доставка будет б...

Роботы-курьеры Яндекса начали доставлять заказы из «Вкусно – и точка» Пользователям Яндекс Еды в Москве заказы из предприятий сети «Вкусно - и точка» начали привозить роботы-доставщики Яндекса. Услуга уже доступна для жителей района Хамовники. При наличии свободного робота приложение предложит его при оформлении заказа - такая доставка будет б...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

ASUS представила первый 8K-монитор с дисплеем mini-LED Компания ASUS представила новый 8K-монитор под названием ProArt PA32KCX. Этот монитор, первый в мире профессиональный монитор 8K с технологией мини-светодиодов, позволяет панели отображать черный цвет почти на уровне OLED. ОсобенностиASUS ProArt PA32KCX оснащён…

ВСУ начнут вербовать людей с ампутированными конечностями Согласно приказу правительства номер 402, люди с ампутированными руками или ногами могут быть признаны ограниченно годными

Цена на графический процессор AMD Radeon RX 6750 GRE в Китае достигла рекордно низкого уровня Правила производителя по рекомендуемой стоимости этой видеокарты дистрибьюторами игнорируются.

Генерал Космических сил США: Америка может потерять доминирование в области военной техники Китай, по его словам, уже почти достиг того же уровня прогресса.

Процессоры AMD Strix Halo получат ядра Zen5 и графику RDNA3.5 Предполагается, что грядущие гибридные процессоры AMD получат значительный прирост производительности, а графическая производительность достигнет уровня мобильной карты GeForce RTX 4070

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

iPhone 16 получит ещё больше твердотельных ёмкостных кнопок Сегодня западные журналисты сообщили, что компания Advanced Semiconductor Engineering выиграла эксклюзивный заказ от компании Apple на поставку двух твердотельных кнопок для предстоящей серии iPhone 16. Эти решения заменят как кнопки управления громкостью, так и кнопки включ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Qualcomm нанесет серьезный удар по MediaTek. Новая SoC Snapdragon среднего уровня покажет производительность на уровне Snapdragon 8 Plus Gen 2 Инсайдер Digital Chat Station раскрыл подробности о будущей однокристальной системе Qualcomm, известной под каталожным номером SM8635. По данным информатора, эта SoC будет производиться по 4-нанометровому техпроцессу, а смартфоны на ее базе будут набирать 1,7 млн балло...

Представлен самый яркий экран для смартфонов: такие дисплеи получат все новые модели Realme GT Realme Mobile официально объявила о том, что экран нового поколения, созданный совместно Realme и BOE, достиг рекордной яркости — 6000 кд/м2. Помимо рекордной яркости заявлено, что срок службы дисплея более чем в 2 раза превышает аналогичный показатель в отрасли. ...

Российские маркетплейсы подписали стандарты по работе с владельцами ПВЗ Партнёрские пункты при согласии площадки смогут выдавать заказы сторонних логистических служб, но без дополнительного брендинга и рекламы.

Honda и General Motors запустят в Японии беспилотное такси Компания Honda Motor объявила, что планирует запустить в Японии сервис беспилотных такси вместе с Cruise и General Motors (GM). Совместное предприятие будет создано партнёрами в 2024 году, а сама служба такси без водителя должна заработать в 2026 году. Сначала беспилотн...

Китайская Loongson выпустит игровую видеокарту – 9A1000 сравнится по скорости с Radeon RX 550 Пока уровень технологий не позволяет китайской компании догнать новые разработки американских полупроводниковых гигантов

Объединение двух гигантов спутниковой связи: SES приобретает Intelsat за $3,1 млрд SES, люксембургский спутниковый оператор, объявил о приобретении своего давнего конкурента Intelsat за $3,1 млрд. Эта сделка, которая должна быть одобрена регулирующими органами, объединит двух крупнейших коммерческих операторов геостационарных спутников связи. В быстро...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Россияне смогут заказывать продукты через голосового помощника «Алису» «Алиса», ИИ, разработанный Яндексом научилась составлять заказы на доставку продуктов

Эльфийка Рыцарь Крови из Варкрафта Привет!Когда я , Sculptooner, только начинал лепить миниатюрки по Варкрафту, то уже тогда одна из идей была сделать именно эту эльфийку, со всем известного арта.И вот, спуста порядка 2,5 лет наконец-то уровень скульптинга достиг нужного уровня - и вот она, та самая кровавая ...

Шойгу: Россия вышла на темпы производства тысячи беспилотников в сутки Уровень роста к прошлому ходу достиг "заоблачных" значений, в числовом эквиваленте став 17-кратным

Цены на сахар находятся на самом высоком уровне за последние 13 лет В сентябре мировые цены на сахар достигли 13-летнего максимума. В качестве причин такой ситуации ФАО указывает на ряд факторов.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

«Белджи» уже перевыполнил годовой план: 80% машин ушло в Россию На белорусско-китайском автомобильном заводе «Белджи» удалось досрочно выполнить годовой план производства, отправив в Россию 80% произведенных автомобилей. Вице-премьер Петр Пархомчик сообщил о достижении этой отметки на совместном заседании белорусского па...

Snapdragon X Elite позволяет играть в Baldur’s Gate 3 Компания Qualcomm обещала, что их новая платформа на базе ARM сможет без проблем запускать большинство игр для Windows. И по факту, трансляция между архитектурой X86 и ARM эффективно работает не только для приложений Windows, а и для игр, использующих DirectX, или Vulka...

Microsoft и Oracle расширяют сотрудничество для удовлетворения спроса на Oracle Database@Azure Корпорация Microsoft и компания Oracle расширяют сотрудничество, чтобы удовлетворить растущий спрос клиентов на Oracle Database@Azure во всем мире. База данных Oracle Database@Azure будет расширена еще на пять регионов, в результате чего общая запланированная зона доступност...

Honor готовит ноутбук на необычной оперативной памяти Похоже, что небинарная память LPDDR5X уже официально эксплуатируется на рынке, поскольку компания Honor представила свой ноутбук на процессорах Intel Core Ultra с 24 ГБ оперативной памяти. Дело в том, что обычно пользователи получают бинарную память на 8, 16 или 32 ГБ. Но не...

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

realme представила в России наушники Buds Air 5 и Buds T300 В России стали доступны для приобретения новые наушники от realme — Buds Air 5 и Buds T300. realme Buds Air 5 — это модель с ведущим в отрасли активным шумоподавлением до 50 дБ. Она стала доступной для широкого круга потребителей и обеспечивает наивысшее качество подавления...

В «Авито» запустили новый кошелёк для оплаты на платформе Команда популярного сервиса частных объявлений «Авито» сообщила о запуске нового сервиса в приложении – «Кошелёк». Функциональность доступна в тестовом режиме для оплаты заказов «Авито Доставки».  Прошлый кошелек можно был...

Цена биткоина выросла до 69 000 долларов – нового рекордно высокого уровня Цена биткойна достигла нового исторического максимума в $69,000 и превысила предыдущий рекорд, установленный в ноябре 2021 года.

Цена биткоина преодолела уровень $56 000 — и тепреь BTC всего в 18% от исторического максимума Утром 27 февраля курс первой криптовалюты превысил отметку в $56 000, достигнув максимума ноября 2021 года.

Digital Dreams показали Cyberpunk 2077 с модом «Ultra+ Realistic Ray Tracing» в разрешении 8К Погружение в киберпространство достигло нового уровня благодаря моду «Ultra+ Realistic Ray Tracing» для Cyberpunk 2077. Откройте для себя улучшенное освещение, потрясающие отражения и фотореалистичные ночные пейзажи, созданные Digital Dreams.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Как развивается 3D-печать органов и когда ждать печень по-заказу Изначально ориентированная на лечение заболеваний и ликвидацию последствий травм, регенеративная медицина открывает перспективы для продления жизни человека и борьбы с последствиями старения в будущем. Одной из наиболее интересных перспектив является 3D-печать органов, хотя...

Заказ товаров из-за рубежа на Wildberries станет невозможным без «Госуслуг» Wildberries, один из крупнейших онлайн-ритейлеров России, вводит новое требование: для заказа товаров из-за рубежа (из Китая и Узбекистана), покупатели должны иметь профиль на портале «Госуслуги», пишет CNews.

MERGE и её улучшение производительности с помощью work_mem С выходом PostgreSQL 15 мы застали появление долгожданной команды MERGE, которая позволяет реализовывать эффективные способы синхронизации обновлений.Суть MERGE заключается в ее универсальности: она позволяет объединить операции INSERT, UPDATE и DELETE в одном запросе, автом...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

В Китае взломали Apple AirDrop и смогли получить личные данные пользователей Известно, что успеха удалось достичь хакерам одного из госучреждений

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

[Перевод] Эффективное управление отношениями со стейкхолдерами Без выстраивания хороших отношений со стейкхолдерами (или заинтересованными сторонами) на проекте далеко не уедешь. О том, как это делать like a boss, – годная статья автора Кэт Бугард в блоге Miro.Все картинки – из Miro. Читать далее

Масштабы оттока BTC с бирж достигли самого высокого уровня с апреля 2021 года Биткоин быстро покидает биржи, установив новые рекорды оттока в долларовом выражении с середины 2021 года

На Apple Vision Pro оформлено до 180 000 предзаказов за выходные Аналитик Минг-Чи Куо поделился анализом предварительных заказов на гарнитуру смешанной реальности Apple Vision Pro, который показывает, что в первые выходные Apple смогла реализовать от 160 000 до 180 000 единиц. Это уже превышает предыдущие производственные показатели в…

Блоки ABS и ESP будут собирать из российских компонентов В блоках ABS и ESP будут использовать российские компоненты. Научно-производственное предприятие «Итэлма», которое занимает выпуском электронных блоков управления для машин, заключило соглашение с крупнейшими российскими производителями чип-резисторов и элек...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Инвесторам приготовиться: Telegram собирается на IPO Клиенты БКС получили презентацию, в которой говорится, что они могут приобрести выпущенные в 2021 году облигации Telegram. Их можно будет конвертировать в акции, если компания проведет IPO (первичное публичное размещение акций). Покупатели смогут конвертировать номинал ...

Apple Vision Pro второго поколения появится только в 2025 году Релиз шлема дополненной реальности Apple Vision Pro вызвал ряд положительных отзывов, включая комментарии от глав крупнейших компаний в мире. Однако это не значит, что продукт идеальный, потому что помимо цены в 3500 долларов есть и другие проблемы, с которыми сталкиваются о...

Чипсет системных плат MSI MAG Z790 Tomahawk WIFI лопался из-за плохого винта системы охлаждения. Компания признала проблему Компания MSI признала наличие проблем с системными платами, основанными на чипсете Z790.   Если точнее, проблема касается одной конкретной модели — MAG Z790 Tomahawk WIFI. Суть в том, что некоторые владельцы столкнулись с выходом таких плат из строя, и всегд...

Российский смартфон «Р-Фон» выйдет в продажу в следующем году Как мы уже писали, компания «Рутек» официально анонсировала российский смартфон «Р-Фон» на собственной операционной системе «Роса Мобайл». По заявлению производителя, новое мобильное устройство полностью закрывает потребности бизнеса,...

Достигнута эффективность редактирования генов нового уровня Ученые восстанавливают зрение у мышей, добиваясь 170-кратного повышения эффективности редактирования генов.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Илон Маск заявил, что Starlink достиг безубыточности. Сегодня это одна из самых дорогих частных компаний в мире Генеральный директор SpaceX Илон Маск заявил, что подразделение спутникового интернета ракетной компании Starlink достигло безубыточности. В 2021 году Маск заявил, что SpaceX выделит и выведет Starlink на биржу, как только денежный поток подразделение станет достаточно ...

Новый бестселлер: все смартфоны Redmi Turbo 3 Harry Potter моментально раскупили Кастомизированная версия смартфона Redmi Turbo 3 Harry Potter пользуется огромным спросом, при этом вся стартовая партия была моментально распродана по предварительным заказам. Об этом сообщил Ван Тенг Томас, генеральный менеджер бренда Redmi, который подтвердил, что в ...

Генсек ООН Гутерриш: ядерная угроза в мире достигла уровня времен холодной войны Генсек ООН призвал к полной ликвидации ядерного оружия

Цена золота достигла рекордного уровня почти в $2 100 за унцию Всё-таки геополитическая напряженность приводит к росту цен

Украина готовится к повышению налогов из-за дефицита бюджета Дефицит бюджета достиг рекордного уровня – Украина планирует повысить налоги

Google Pixel 8 получит ИИ Gemini Nano Когда компания Google официально объявила о запуске нейросети Gemini, она также раскрыла уровни данной системы на основе различных больших языковых моделей. Один из этих уровней называется Nano и полностью работает на устройстве, то есть это локальный искусственный интеллект...

iPhone 15 Pro можно использовать в роли портативной консоли На презентации компании Apple представители бренда официально заявили, что на их смартфонах iPhone 15 Pro можно будет запускать игры консольного уровня, что многих пользователей, естественно, это заинтересовало. Теперь же появились первые лайфхаки, которые позволяют существе...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Wildberries ввел функцию отмены заказа Помимо преимуществ для покупателей и продавцов, новая функция отмены заказов Wildberries имеет положительные последствия и для самой компании.

Huawei хочет сделать HarmonyOS популярнее, чем iOS и Android На Аналитическом саммите 2024 года председатель Huawei Сюй Чжицзюн официально объявил о планах компании по расширению своего глобального присутствия на мировых рынках электроники. Глава компании сделал акцент на том, чтобы сделать HarmonyOS третьей по популярности операционн...

Водохранилище Мид эксперты называют исторической ошибкой США Проблема заключается в том, что озеро, расположенное в Юте и Аризоне, достигнет «мертвого бассейна» высотой 3370 футов, как сообщил Newsweek, что означает, что плотина гидроэлектростанции не сможет функционировать.

По предварительному заказу продано до 180 000 единиц ... Только начались предварительные заказы на Vision Pro, популярность устройства только растет. Было продано около 180 тысяч единиц.

По предварительному заказу продано до 180 000 единиц ... Только начались предварительные заказы на Vision Pro, популярность устройства только растет. Было продано около 180 тысяч единиц.

Российский ВПК опережает Запад в производстве боеприпасов Россия значительно превосходит западные страны в производстве артиллерийских снарядов, что создает серьезные вызовы для ВСУ и ставит под вопрос способность НАТО соперничать с российским оборонным сектором.

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

У Apple своя реальность: Тим Кук заявил, что 99% покупателей iPhone 15 довольны смартфоном, хотя независимое исследование опровергает это В ходе пресс-конференции по поводу результатом первого квартала 2024 финансового года генеральный директор Apple Тим Кук заявил, что удовлетворенность пользователей серией iPhone 15 достигла 99%. Когда его спросили, как он оценивает успехи серии iPhone 15, Кук ответил: ...

Предприниматели смогут управлять доставками через бизнес-профиль в Яндекс Go Теперь предприниматели могут создать в приложении профиль своей компании, привязать корпоративную карту для оплаты заказов и управлять доставками с телефона.

120 к/с в играх на смартфоне за 250 долларов. Представлен Infinix GT 20 Pro с отдельным чипом для интерполяции кадров Компания Infinix представила смартфон GT 20 Pro, который, как и GT 10 Pro, позиционируется в качестве недорого игрового смартфона.  Цена новинки пока не объявлена, но предположительно, она останется на уровне предшественника, который стоил всего 250 долларов. ...

Прогноз правительства США: биткоин вырастет до $250 000 к 2034 году Правительство США ожидает, что биткойн достигнет уровня от 250 000 до 6 миллионов долларов примерно через десять лет, согласно предположениям, заложенным в бюджетном документе.

Еженедельный объем торгов Optimism вырос на 1687%, TVL достиг $769 млн Сеть Optimistic Rollup второго уровня на базе Ethereum (OP) зафиксировала рост еженедельных объемов торгов на 1687%

Камера Hasselblad 907X оценена в 8200 долларов Компания Hasselblad пополнила ассортимент среднеформатных цифровых камер моделью Hasselblad 907X, которая доступна для заказа по цене в 8200 долларов. Новинка характеризуется 100-Мп датчиком (43.8:32.9 мм) с обратной засветкой, внутренним твердотельным накопителем вместимост...

«Брутальная производительность», 5500 мА·ч, 100 Вт. Подробности о OnePlus Ace 3V — первом смартфоне на Snapdragon 7+ Gen 3 Инсайдер Digital Chat Station опубликовал подробности о будущем смартфоне OnePlus среднего уровня — OnePlus Ace 3V. Новинка, по словам информатора, сможет продемонстрировать «брутальную производительность» — за счет новой SoC Qualcomm Snapdragon ...

Cisco патчит уязвимость повышения привилегий в IMC Компания Cisco выпустила патчи для уязвимости в Integrated Management Controller (IMC), для которой уже существует публично доступный эксплоит. Проблема позволяет локальным злоумышленникам повысить свои привилегии до уровня root.

Стала известна точная дата выхода вдохновлённого «Корсарами» пиратского боевика Corsairs Legacy Настолько вдохновлённого, что даже уровень графики примерно такой же.

Названы сроки выхода iPhone SE 4 и других устройств Apple Утечка дорожной карты Apple раскрыла дату запуска iPhone SE 4, складного iPhone и очков AR. Документ, предоставленный финансовой компанией Samsung Securities, был опубликован информатором Tech_Reve. Согласно источнику, в нынешнем году стоит ожидать выход 11-дюймового iPad…

Стекло, керамика и лазер: альтернатива HDD может хранить до 10 ПБ в течение 5000 лет Компания Cerebyte создала прототип системы хранения архивных данных, используя стекло, керамику и лазер. По долговечности накопитель информации способен соперничать с древними глиняными клинописными табличками, созданными шумерами около 3,5 тыс лет назад. Считать информацию...

По заявлению еврейского ТВ-канала N12, иранские крылатые ракеты и дроны не смогли достичь Израиля Но некоторые кадры из пустыни Негев свидетельствуют об обратном

Bloomberg: Администрация Зеленского не смогла достичь желаемого эффекта на встрече в Давосе При этом представители Киева заявляют, что страна будет продолжать боевые действия

Названы хитрости, которые позволяют таксистам взвинчивать цены Таксисты прибегают к нескольким хитростям, чтобы возить по высоким расценкам и совершенно не заинтересованы в том, чтобы выполнять заказы по обычным тарифам без повышающего коэффициента.

Apple разрабатывает складной планшет или даже ноутбуки Судя по последней информации, компания Apple в конечном итоге всё же выйдет на рынок складных устройств, так как на рынке уже достаточно качественных дисплеев и поставщиков. Например, если верить информации инсайдеров, компания Samsung перестраивает свою команду разработки д...

В чешской прессе предположили, насколько сильной выйдет Российская Федерация из конфликта на Украине По мнению экспертов, европейские страны не сумеют достичь такого же уровня подготовки и оснащения вооруженных сил

Google изобрела ИИ, решающий геометрические задачки на уровне золотых медалистов Google достигла нового прорыва в области искусственного интеллекта, создав систему, способную решать задачи по евклидовой геометрии на уровне золотых медалистов математических олимпиад.

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Женщина-астронавт Жасмин Могбели потеряла сумку за пределами МКС: у Земли появился новый сияющий спутник, видимый в бинокль 2 ноября 2023 года астронавты NASA Жасмин Могбели и Лорал О’Хара совершили выход в открытый космос с Международной космической станции. Как оказалось, во время выхода не обошлось без небольшого происшествия.  Жасмин Могбели и Лорал О’Хара во время выхо...

Bitcoin преодолел отметку в 40 тысяч долларов В прошлом году все достаточно активно хоронили криптовалюты и обсуждали то, что они, вероятно, пошли на дно из-за того, что цена на Bitcoin существенно упала, тем самым погубив веру в данное направление. Но Bitcoin в очередной раз опроверг слухи о своей смерти, преодолевая п...

Названа самая продаваемая модель Suzuki в истории. Это не Vitara и не Jimny Suzuki, производитель автомобилей и мотоциклов со штаб-квартирой в Сидзуоке, Япония, достиг важной вехи, достигнув к концу августа 2023 года совокупного объема продаж автомобилей по всему миру в 80 миллионов единиц. В настоящее время производитель производит автомобили,...

Skoda приготовила свой самый дешёвый кроссовер Компания Skoda завершила проектирование своего нового кроссовера, который поступит в продажу в следующем году, о чем пишет Autocar. Эта модель будет самым доступным кроссовером в линейке бренда, а низкой цены планируют добиться при помощи высокого процента лок...

Группа «Астра» инвестирует в лидирующего поставщика платформ для корпоративного обучения Knomary В контур «Астры» вошла компания Knomary. Интеграция вендоров позволит в скором времени вывести на рынок современные комплексные продукты, с помощью которых заказчики смогут автоматизировать процессы корпоративного обучения, а также создавать курсы для своего персонала, партн...

МТС запустил продажи eSIM в Telegram Российский оператор МТС сообщил о запуске продаж eSIM в мессенджере Telegram. Пользователи смогут выбрать не только тариф, но и красивый номер, процесс покупки занимает около пяти минут. Иллюстрация: МТС Приобрести eSIM можно в боте Telegram. Перед выбором пользов...

Курс биткоина поднялся выше $50 тысяч впервые с 2021 года 12 февраля BTC снова достиг исторического максимума впервые с 2021 года. Недолгое время биткоин торговался на уровне $50100 тысяч.

Субфлагман, который окажется мощнее многих флагманов – и дешевле. В Китае начали принимать заказы на смартфоны iQOO Neo9 Чуть меньше месяца назад iQOO представила флагманы iQOO 12 и iQOO 12 Pro, оба получили SoC Qualcomm Snapdragon 8 Gen 3. А в декабре компания представит субфлагманы линейки iQOO Neo9, и топовая модель, iQOO Neo9 Pro, окажется даже быстрее iQOO 12 Pro. Когда именно состои...

Пользователи сообщают о сильном нагреве Xiaomi 14. В компании уже дали ответ Пользователи магазинов Xiaomi Home уже имеют возможность ознакомиться со смартфонами серии Xiaomi 14, после чего в Weibo появились сообщения о том, что корпус Xiaomi 14 оказался довольно горячим. Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, по...

V-COLOR представила память Manta XFinity DDR5-8400 Серия XFinity будет доступна с частотой до 8400 МГц. Оптимизированные с помощью профиля Intel XMP 3.0, они позволяют достичь высокой скорости разгона одним щелчком мыши.

Экспорт российской сырой нефти морским транспортом побил годовой рекорд Валовая стоимость поставок сырой нефти выросла до самого высокого уровня с октября, достигнув $1,86 млрд за недельный период

Цена биткоина достигла $50 000 - это психологически важная отметка для криптовалюты Во вторник утром, 13 февраля, котировки первой криптовалюты превысили уровень $50 000. Это реакция рынка на одобрение ETF и предстоящий халвинг. Халвинг ожидается в середине апреля 2024 года.

Galax выпустил однослотовую RTX 4060 Ti с очень тонким дизайном вентилятора Несмотря на компактные размеры - 280 x 126 x 20 мм, устройство демонстрирует производительность на уровне референсной модели RTX 4060 Ti с тактовой частотой 2535МГц. Высокая производительность достигнута ценой повышенного шума.

Цена биткоина превысила $66 000 — до исторического максимума ноября 2021 года осталось менее5% Цена первой криптовалюты достигла уровня $66 000 — рост за последние сутки составил 6%, согласно CoinGecko. Нового рекордного максимума стоит ожидать в течение недели.

Ethereum опередил биткойн по темпам роста В течение последней недели Ethereum опередил биткойн по скорости роста стоимости, о чем сообщает ТАСС со ссылкой на данные площадки Coindesk. За прошедшие семь дней цена Ethereum выросла на 16%, достигнув уровня более 2900 долларов впервые за последние два года. В то же...

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

США готовятся развернуть гиперзвуковые ракеты на АПЛ для повышения шанса прорыва ПРО России и КНР Новый подводный испытательный комплекс ВМС США - ключевой шаг, но программа по-прежнему сдерживается сокращением бюджета, падением уровня заказов на строительство новых судов и отсутствием четкой стратегии

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

На складах Amazon начинают работать гуманоидные роботы На своей конференции Delivering the Future в Сиэттле Amazon сообщила, что она начинает размещение в своих центрах обработки заказов гуманоидных роботов Digit компании Agility. Эти роботы способны выполнять все движения, доступные обычным людям-упаковщикам и сортировщикам. В ...

Samsung Galaxy S24 – самая успешная линейка флагманов Samsung за все время: новинки установили рекорд предзаказов в Индии На прошлой неделе Samsung открыла предзаказ новейших флагманов линейки Galaxy S24 в ряде стран, и уже сейчас есть один из итогов: в Индии новинки стали самыми популярными флагманами Samsung за все время. За три дня объем предзаказов превысил отметку в 250 тыс. единиц. Д...

Представлен новейший умный холодильник Xiaomi Представлен 256-литровый незамерзающий трехдверный холодильник Xiaomi Mijia с воздушным охлаждением, который будеит доступен для предварительного заказа в Китае с 23 октября по цене 230 долларов. По имеющимся данным, этот холодильник занимает площадь менее 0,38 квадрат...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

Apple представила обновленный iPad 10 с поддержкой eSIM в Китае Компания Apple представила общественности обновленную версию iPad 10-го поколения с поддержкой eSIM в Китае. Отметим, что в Китае сейчас есть только один оператор сотовой связи, поддерживающий работу с eSIM – China Unicom.  Китайские пользователи смогут нача...

ИИ научился читать рентгеновские снимки не хуже высококвалифицированных врачей Сотрудники Уорикского университета в Великобритании достигли значительного прогресса в области медицинского ИИ, обучив нейросеть X-Raydar анализировать рентгеновские снимки на уровне профессиональных врачей.

Venom Ventures не смог реализовать планы по софинансированию на $1 млрд Дубайский венчурный фонд Venom Ventures не смог за 2023 год достичь каких-либо значимых результатов и реализовать амбициозную программу по софинансированию новых криптовалютных проектов

[Перевод] Роботы наступают. И это хорошо Даниэла Рус из Массачусетского технологического института не беспокоится о том, что роботы захватят мир. Напротив, она представляет себе, как роботы и люди объединятся, чтобы достичь того, что ни те, ни другие не смогут сделать в одиночку. Читать дальше →

Обработка изображений и видео на смартфонах: handcrafted-алгоритмы против глубокого обучения Зеркалка — хорошая штука, но смартфон однозначно компактнее и удобнее. Да, мобильная оптика все еще далека до уровня зеркалок, однако получить красивые фотографии человек хочет здесь и сейчас. Как быть? Взамен харда, подключается софт, алгоритмы!Именно софт смартфона помогае...

Представлен саундбар Denon DHT-S218 Компания Denon представила саундбар среднебюджетного уровня Denon DHT-S218, который уже доступен для покупки на европейском рынке по цене в 270 евро. Новинка формата 2.1 характеризуется двумя 75-мм встроенными сабвуферами, направленными вниз, двумя среднечастотными динамик...

Российский рынок корпоративных коммуникаций удвоится к 2028 году По оценке аналитиков, российский рынок корпоративных коммуникаций в 2023 году составил 81 млрд рублей. В ближайшие пять лет он может удвоиться и достичь 164 млрд рублей, что соответствует среднегодовому темпу роста на уровне 15%.

Как мы достигли «бриллиантового» уровня инженерной зрелости продукта, используя клиентоориентированный подход Ни для кого не секрет, что ключевой задачей любого бизнес-продукта является прибыль. Но весь ли успех продукта зависит от бизнес-фич? Читать далее

Samsung, Xiaomi, Acer, Honor и другие компании возобновили рекламную деятельность в России Samsung, Xiaomi, Acer, Honor и другие компании, которые прекратили рекламную деятельность в России в 2022 году, возобновили ее, о чем пишет «Коммерсантъ». Более того, маркетинговые бюджеты брендов в 2023 году достигли уровня 2021 года, а в некоторых случаях ...

Китайская Geely бросила вызов Tesla своим электровнедорожником с автопилотом JiYue 01 На китайской арене электромобилей появился новый грозный соперник - JiYue 01, созданный технологическим гигантом Baidu и автомобильным концерном Geely. Этот внедорожник намерен соперничать с Tesla Model Y не только по цене, но и благодаря технологии автономного вождения L4.

AliExpress взбесил покупателей. Заказы не выполняются, а товары не доставляются Торговая площадка AliExpress в 2023 году остается одним из немногих онлайн-магазинов, где жители России могут покупать товары с глобальной международной доставкой из других стран мира. При этом ассортимент товаров, доступных для заказа и покупки, просто Читать полную версию...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Маленькая, розовая и на уровне RTX 2080 Ti. Представлена видеокарта Zephyr GeForce RTX 4060 Ti Sakura Компания Zephyr представила одну из самых компактных моделей видеокарты GeForce RTX 4060 Ti. Модель называется GeForce RTX 4060 Ti Sakura и имеет длину всего 172 мм, так что отлично подойдёт для сборки ITX.  Кроме того, как и предыдущие модели Sakura, она выделяет...

Boston Dynamics показала совершенно нового электрического андроида Atlas Технологическая компания Boston Dynamics вышла на новый уровень развития с выходом электрической версии своего знаменитого робота-андроида Atlas. Сейчас планируется использовать новинку на производственных линиях автомобильного гиганта Hyundai. Электрический Atlas стал более...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Microsoft удалила WordPad, несмотря на сопротивление пользователей, и активно совершенствует Блокнот. Word не нужен? Корпорация Microsoft в последнее время занимается изменением существующих и добавлением новых возможностей в свой офисный пакет Microsoft Office. Недавно на Хабре сообщалось о том, что корпорация передумала полностью уходить в облака в плане Office, вместо этого предложив о...

Китайский четырёхъядерный процессор Loongson 3A6000 способен конкурировать с Core i5-14600K. Если им уровнять частоты Вчера китайская компания Loongson наконец-то выпустила на рынок свой процессор 3A6000, который в ряде тестов действительно не уступает Ryzen 3 3100 и Core i3-10100F. Оказалось, что он может тягаться даже с Core i5-14600K! Правда, только на одинаковой частоте.  Фот...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Российский спецназ получит парашют "Дальнолет", позволяющий проникать в тыл ВСУ на 60 км С вероятным выходом к Одессе такой комплекс ой как пригодится

SSD накопители будут продолжать расти в стоимости Производители, такие как Western Digital и Kioxia, почти достигли докризисного уровня производства, восстановив его до 90%. Особое внимание уделяется 112-слойной памяти, широко используемой в потребительских SSD, и микросхемам типа MLC, предназначенным для корпоративного сег...

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

На Мосбирже пройдет первое IPO разработчика инфраструктурного софта «Астра» По прогнозу Strategy Partners, рынок инфраструктурного ПО достигнет в 2030 году 270 млрд рублей, продемонстрировав среднегодовой темп роста на уровне 17%. Доля российских разработчиков может вырасти до 51% с 36%. .

Быстро отменённое заказанным не считается: Wildberries тестирует систему защиты от случайных заказов Команда крупнейшей российской торговой онлайн-площадки Wildberries сообщила о тестировании полезного сервиса для покупателей. Как рассказали в пресс-службе, клиенты Wildberries смогут отменить покупку сразу после заказа — это поможет, если покупатель сделал заказ ...

200-мегапиксельный «телевик» в Vivo X100 Pro Plus сможет предложить 200-кратное приближение Смартфону Vivo X100 Pro Plus давно приписывают 200-мегапиксельный «телевик» — впервые в отрасли. Согласно свежим данным, кроме прочего, он позволит значительно увеличить максимальное приближение.  Инсайдер Digital Chat Station говорит, что 200-ме...

Следить за готовностью кофе в Starbucks теперь можно через «островок» iPhone Apple наконец-то запустила функцию Live Activities для Starbucks, позволяющую пользователям отслеживать ход выполнения заказов на экране блокировки iPhone и Dynamic Island. Впервые эта функция была анонсирована еще в 2022 году, и с тех пор находилась в стадии бета-тестирован...

Готовьтесь дольше ждать, если часто отменяете заказы Яндекс.Такси: новый алгоритм Яндекс Такси начал внедрять алгоритм, учитывающий частоту отмен заказов со стороны пользователя, пишет ТАСС. Это нововведение призвано оптимизировать работу сервиса и сдержать рост цен на такси.

Ваш личный «ИИ-компаньон»: Rabbit R1 будет работать на основе Perplexity Rabbit R1, компактное устройство с искусственным интеллектом, предназначенное для ответов на ваши вопросы и выполнения задач. Cтало известно, что оно будет работать на основе искусственного интеллекта Perplexity. Perplexity — это стартап из Сан-Франциско с амбициями сопернич...

Сможет ли Solana сломить сопротивление на уровне $60? Solana (SOL) в понедельник снизился до $55

Windows 12 выйдет в следующем году? Intel говорит, что ожидает рост доходов из-за выхода новой версии операционной системы Компания Intel снова указывает на выход новой версии Windows в следующем году. Об обновлении на конференции Citi заявил финансовый директор Intel Дэвид Зинснер, отметив, что на этом фоне компания ожидает роста своих доходов.  создано DALL-E в Bing В данном случае ...

Новый Mitsubishi Pajero станет экономичным роскошным внедорожником Из Японии продолжают поступать данные о том, что Mitsubishi работает над люксовым внедорожником Mitsubishi Pajero, первая информация о котором появилась в начале года. Компания Mitsubishi изучала возможность создания нового Pajero на базе рамной платформы Triton, но при...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

По мере выхода GTA 6 Red Dead Redemption 2 выходит на новый уровень Steam Количество игроков Red Dead Redemption 2 в Steam достигает нового максимума на фоне большой распродажи.

Инфляция в Турции достигла рекордных 64,77 процентов В Турции наблюдается кризис в виде рекордно высокой инфляции, достигшей 64,77 процента.

ЕС достиг исторического соглашения о регулировании искусственного интеллекта Европейские законодатели достигли политического соглашения о регулировании искусственного интеллекта в ЕС.

У Apple уже более 2,2 млн активных устройств по всему миру Во всем мире насчитывается более двух миллиардов активных iPhone, iPad, Mac и других устройств Apple, о чем сообщила сегодня Apple в отчете о доходах за первый финансовый квартал 2024 года. «Мы рады сообщить, что наша установленная база активных устройств превысил...

Аналитик Нейт Джерачи и другие эксперты: биткоин выиграет от халвинга и спотовых ETF 8 февраля котировки первой криптовалюты достигли уровня $44 500. Эксперты уверены в предстоящем росте актива на фоне принятия спотовых биткоин-ETF и предстоящего халвинга.

Биткоин закрепился в районе $42 000 – что сейчас движет рынком криптовалют В декабре биткоин достиг самого высокого уровня с апреля 2022 года. Тема спотовых биткоин-ETF доминировала в последние несколько недель, а в середине месяца на первый план выходят макроэкономические факторы.

Поставки AR- и VR-гарнитур в 2023 году опустятся на уровень пятилетней давности Однако с учетом грядущего выхода новинок, в том числе устройства Apple Vision Pro, аналитики IDC ожидают возобновление роста уже в следующем году.

Специалисты компании «Инфосистемы Джет» провели комплексный аудит информационной безопасности ООО «ОССП» Специалисты ИТ-компании «Инфосистемы Джет» завершили комплексный аудит информационной безопасности по заказу ООО «Объединенные Системы Сбора Платы» — крупнейшего оператора платных автодорог в России.

Байден во второй раз назвал Си Цзиньпиня диктатором, хотя после их встречи прошло несколько часов Стороны смогли достичь прогресса в урегулировании отношений, но американский президент не отказывается от своих слов, что китайский лидер - диктатор.

GEEKOM представила мини-ПК GEEKOM A7 Компания GEEKOM представила мини-ПК GEEKOM A7, который уже доступен для предварительных заказов

К концу 2024 года рынок ЦФА достигнет 250 млрд рублей В 2023 году российский рынок цифровых финансовых активов (ЦФА) достиг почти 100 млрд рублей

NYT: В Европе думают над планом действий в случае переизбрания Трампа и выхода США из НАТО Европейцы задумались, сможет ли альянс существовать без американцев.

Совершенно новый Toyota RAV4 будет больше текущей модели. Дизайн будет напоминать Toyota C-HR Компания Toyota готовится к выпуску нового поколения своей популярной модели, RAV4. Автомобиль получил кодовое название XA60 и планируется к выходу в 2026 году. Следующий внедорожник Toyota RAV4 будет иметь увеличенные размеры по сравнению с текущей моделью, длина кузов...

Вся информация об открытой торговле в MMORPG Night Crows Разработчики Night Crows из Wemade опубликовали новое видео, в котором рассказали основную информацию по системе торговли. Она завязана на крафте, то есть создании предметов. Крафтить можно экипировку, оружие, аксессуары и расходные материалы. Система крафта в Night Crows...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Как звонить родным и близким по всему миру бесплатно и без регистрации через Gem Space Gem Space – многофункциональное приложение (суперапп) от компании DevTeam-Group, предоставляющее возможности для безопасного общения между родными и близкими, коммуникаций по ведению бизнеса и развлечений. Сервис имеет встроенную блог-платформу с обширным каталогом каналов ...

Видеокарты Radeon в ноутбуках никому не интересны? На CES 2024 не было ни единой такой новой модели Текущее поколение видеокарт AMD не может соперничать с GeForce RTX 40 по разнообразию, причём в мобильном сегменте ситуация хуже, чем в настольном. И как оказалось, на выставке CES 2024 не было показано ни одного ноутбука с Radeon RX 7000M.  Более того, модели, ко...

Всё скупают роботы: вот почему живым людям не достаются iPhone 15 Новое исследование компании по кибербезопасности Kasada утверждает, что перекупщики используют ботов для предварительного заказа смартфонов iPhone 15, заказывая товары на миллионы долларов на веб-сайте Apple и у операторов связи. Это усложняет задачу простым покупателям...

Washington Post: В США интерес к финансированию Украины достиг уровня марианской впадины Марианская впадина — место в Мировом океане, где находится самый глубоководный желоб

Биткоин преодолел $51 000, капитализация актива снова превысила триллион долларов В среду, 14 февраля, котировки биткоина превысили уровень $51 000 впервые с декабря 2021 года. Капитализация актива достигла $1 трлн, согласно CoinGecko.

Российское золото в центре внимания мирового рынка В 2023 году поставки российского золота в Гонконг достигли рекордного уровня в $5,31 млрд за 10 месяцев, увеличившись на 625,8%

Прорыв в сфере бизнеса: стратегию данных, управляемую ИИ, стал «секретным соусом» для успеха В эпоху доминирования данных компании стремятся использовать беспрецедентный потенциал искусственного интеллекта (ИИ). Обозреватель HackerNoon пишет, что стратегия работы с данными, основанная на ИИ, становится переломным моментом, позволяющим организациям выйти на новый уро...

ARPG Order Daybreak выйдет на смартфоны в июне Студия Neocraft работает над мобильной игрой Order Daybreak. Это ARPG с аниме-персонажами и киберпанковой стилистикой. Релиз игры намечен на 20 июня, если верить App Store. Пока что можно пройти предрегистрацию через App Store и Google Play. В игре будет русская локализация,...

Китайская BYD обогнала Nissan в поставках электромобилей и приблизилась к Tesla Ноябрьский рекорд вывел китайского производителя EV (электромобилей) вперед. BYD достиг рекордного уровня продаж, впервые обогнав Nissan по месячным продажам.

Клиенты Wildberries смогут отменить покупку сразу после заказа Wildberries объявил о запуске новой функции: возможности отмены покупки непосредственно после заказа. Это предложение будет полезно, если покупатель ошибся в выборе товара, адреса доставки или случайно заказал большее количество товаров, чем планировал.

Память Micron LPDDR5x DRAM позволяет достичь 4-% экономии энергии при сохранении скорости 9,6 Гбит/с Исследования показывают, что 71% пользователей смартфонов считают, что время автономной работы является самой важной характеристикой при выборе нового устройства

Apple позволила взглянуть на 3D-видео в своем VR-шлеме лишь избранным журналистам В iOS 17.2 компания Apple открыла возможности для съемки пространственного видео на iPhone 15 Pro. Эта инновационная функция позволяет записывать трехмерные видеоролики, которые при просмотре на гарнитуре Vision Pro от Apple приобретают завораживающие VR-ощущения. Некоторые ...

Инсайдер раскрыл сроки выхода 5-го сезона «Очень странных дел»: его разделят на две части Судя по всему, финал истории мы сможем увидеть уже в этом году.

Хардкорный 1000-сильный внедорожник M-Hero выходит в России. Стартовал приём предзаказов В России анонсировали приём предварительных заказов на брутальный внедорожник M-Hero. Автомобили в нашу страну привезет «Моторинвест». Внедорожник оснащен с тремя электромоторами суммарной мощностью 816 л. с. и пиковым крутящим моментом в 1050 Нм, а также 1...

Xiaomi не ожидала, что Xiaomi 14 станет настолько успешным Основатель Xiaomi Лэй Цзюнь рассказа в Weibo о своем предпринимательском пути за последние 30 лет, будущих перспективах Xiaomi, автомобилях компании и успехе Xiaomi 14. Объем продаж серии Xiaomi 14 быстро превысил один миллион единиц. В течение 5 минут после запуска про...

AMD теснит Intel по всем фронтам. На рынке серверных процессоров AMD занимает уже почти четверть, забирая почти треть всей выручки Согласно данным аналитиков Mercury Research, в четвёртом квартале прошлого года компания AMD смогла существенно нарастить свою долю на рынке процессоров.  В целом на рынке CPU в количественном выражении компания теперь занимает 20,2% против 17,1% за год до этого. ...

Microsoft представила новый дизайн веб-версии OneDrive Microsoft анонсировала крупное обновление веб-версии OneDrive, которое сделает работу с облачным хранилищем более эффективной и интуитивно понятной. Это одновременно и визуальное, и функциональное обновление, призванное упростить доступ к файлам и упорядочить содержимое раз...

В отличие от Илона Маска глава GAC более трезво оценивает ситуацию: «Беспилотные автомобили официально появятся на дорогах в течение 10 лет» В последние годы все больше машин оснащаются интеллектуальными технологиями вождения, но до настоящего беспилотного вождения еще предстоит пройти долгий путь, как считает Фэн Синъя, генеральный директор GAC Group. «В целом я относительно оптимистичен в отношении п...

Генпрокуратура России завершила проверку Wildberries: чем всё закончилось Генеральная прокуратура России завершила проверку по интернет-публикациям относительно взимания Wildberries платы за обратную доставку товара с покупателей. Об этом рассказала пресс-служба крупнейшей российской торговой онлайн-площадки Wildberries.  Фото: Wildberr...

Доход JOY GROUP в 2023 г. вырос на 48% и достиг $360 млн, заняв 2 место по косметике Китая Компания Shanghai Juyi Cosmetics Co., Ltd. обнародовала свои финансовые результаты за 2023 финансовый год. Группа превысила общий объем розничных продаж в 450 млн долларов США (3 млрд юаней), достигнув отметки дохода в 360 млн долларов (2,61 млрд юаней) и продемонстрировав з...

BYD гордится тем, что собрала 5000 заказов на свой внедорожник BYD YangWang U8 за 132 дня, хотя на Land Cruiser 250 собрали столько же заказов за час BYD сегодня сообщила о большом достижении: покупатели оформили 5000 заказов на флагманский внедорожник BYD YangWang U8. В компании говорят о рекорде, а звучит формулировка примерно так: BYD YangWang U8 установил «рекорд самых быстрых продаж внедорожника милли...

Цена AMD Radeon RX 7700 XT опускается до $399 Цены на AMD Radeon RX 7700 XT продолжают снижаться: за последние выходные они достигли $399 на Newegg. Sapphire RX 7700 XT Pulse продается по цене $409, а скидка по купону позволяет сэкономить еще $10

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Новенькие Apple Watch Series 10 смогут измерять артериальное давление Apple Watch Series 10, выход которых ожидается этой осенью, могут стать настоящим прорывом для пожилых людей и просто тех, кто следит за здоровьем.

Наушники Redmi Buds 5 Pro готовы к выходу Компания Xiaomi объявила, что официальная презентация беспроводных наушников Redmi Buds 5 Pro состоится 29 ноября. Судя по опубликованному изображению, новинка получит черную, белую и голубую расцветки. В сентябре были представлены наушники Redmi Buds 5, которые при цене...

Уровень углекислого газа достиг максимума за 14 млн лет Группа международных ученых, включая опытных геологов из Университета Юты, раскрыла масштабы климатических проблем: текущий уровень CO2 на Земле подскочил до беспрецедентных 419 ppm, что является самым высоким показателем за последние 14 миллионов лет.

Standard Chartered: Курс биткоина достигнет $100 000 к концу 2024 года По данным команды аналитиков банка Standard Chartered, возглавляемой Джеффом Кендриком, первая криптовалюта может достигнуть $100 000 уже к концу следующего года

Toyota снова на коне: компания зафиксировала рекордные объемы международного производства и продаж В октябре текущего года объемы производства и продаж ведущего японского автоконцерна Toyota достигли рекордных значений, как отмечено в официальном пресс-релизе компании. Производство составило 900 тыс. автомобилей, что на 16,7% превысило аналогичный период прошлого год...

Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Мы уже видели тесты новых процессоров Intel Meteor Lake и примерно представляем себе, что вышло у компании, хотя первые тесты были весьма поверхностными. Но теперь в Сети появился обзор, где авторы уделили намного больше внимания iGPU.  Процессоры были протестиров...

Умные часы Samsung Galaxy Watch 7 смогут определять уровень сахара в крови Такую же технологию готовит и Apple.

BYD делает шаг вперед: первым в Китае получил лицензию на автопилот L3 Китайский автопроизводитель BYD достиг выдающейся вехи, став первым в Китае, получившим разрешение на автономное вождение уровня 3 (L3) для скоростных дорог. О получении этого разрешения, полученного в Шэньчжэне 21 июля, BYD официально объявила сегодня.

Дегидратор Rawmid Classic RCD-06: равномерное высушивание на 6 уровнях с нагревом воздуха от 35 до 70 °C Помимо главного плюса — довольно быстрого равномерного высушивания — комфортной эксплуатацию Rawmid Classic RCD-06 делает возможность регулировки параметров в процессе сушки, а также низкий уровень шума и отличная термоизоляция корпуса. Эта модель имеет шесть уровней, но кол...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Первое изображение Honor 90 GT, который получит 24 ГБ ОЗУ и будет конкурировать с Redmi K70 В Сети появилось первое изображение будущего смартфона Honor среднего уровня – Honor 90 GT. Устройство показано в фиолетовом, с золотистыми акцентами на корпусе. В растянутом блоке камеры – три модуля. По словам известного инсайдера Digital Chat Station, Ho...

Да кто такой этот ваш impl Trait В преддверии выхода Rust 1.75.0, наполненным async trait-ами и return-position impl Trait in trait, надо разобраться, что такое impl Trait и с чем его едят. После прочтения статьи вы сможете битбоксить с помощью новых акронимов понимать, что за наборы символов RPIT...

Анонсирован выход физического издания Baldur’s Gate III за €80 с дополнительными бонусами Baldur’s Gate III уже успела захватить свободное время игроков на PC и PS5, а в декабре насладиться игрой смогут владельцы Xbox

OpenAI будет тщательнее следить за безопасностью своих систем искусственного интеллекта Совет директоров сможет блокировать выход не самых безопасных для общества разработок.

Redmi Book Pro 2024 готов к выходу Компания Xiaomi официально объявила, что презентация ноутбука Redmi Book Pro 2024 состоится завтра, 22 февраля. Судя по изображению аппарат сможет похвастаться поддержкой Xiaomi HyperOS Connect. Также ноутбуку приписывают наличие экрана с разрешением 3,1K и кадровой частотой...

Snapdragon X Elite против лучшей игры 2023 года. На ноутбуке с такой платформой запустили Baldur's Gate 3 Как мы уже знаем, ноутбуки на основе SoC Snapdragon X Elite будут лишены дискретных видеокарт, но смогут запускать большинство игр. Теперь же у нас есть первый пример, позволяющий хоть как-то оценить производительность.  На одной из демонстраций ноутбук с SoC Snap...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Новейший внедорожник с лебёдкой, шноркелем и розетками на 5,5 кВт, который проедет без капли бензина 100 км — Great Wall Shanhai Cannon На Пекинском автосалоне 2024 года компания Great Wall представила Shanhai Cannon (Shanhai Pao) Hi4-T, который позиционируется как роскошный внедорожный пикап на новой энергии. Он оснащен гибридной системой Hi4-T, специально разработанной для использования на бездорожье,...

Японский лунный модуль SLIM «проснулся» после своей второй лунной ночи Японский лунный модуль SLIM успешно «пережил» свою вторую двухнедельную лунную ночь. «Умный посадочный модуль для исследования Луны», достиг поверхности Луны в январе, сделав Японию пятым государством, которому это удалось. Однако лёгкий лендер п...

Apple увеличит объем заказов на 3-нм чипы у TSMC на 50% в 2024 году Вероятно, компания снова станет крупнейшим клиентом TSMC в этом году.

Пул LFNTY, компании Lifinity теряет $700 000 Ошибка в заказе «Немедленно или отменить» привела к утечке почти $700 000 из пула LFNTY-USDC компании Lifnity

Intel закончила год с рекордной выручкой на контрактном направлении Компания уже располагает заказами на $10 млрд.

Бюджетные умные часы Rowatch 6 обещают измерять ЭКГ, уровень сахара в крови и многое другое Rowatch 6 компания Rogbid представила новые умные часы, которые по словам производителя, предлагают обширные функции, включая измерение ЭКГ, артериального давления и уровня глюкозы в крови.

Официально: Samsung Galaxy F55 5G готов к выходу Компания Samsung объявила, что презентация смартфона среднего уровня Galaxy F55 5G состоится в ближайшее время в Индии. Судя по опубликованному тизеру, аппарат получит заднюю панель из оранжевой экокожи. Предполагается, что перед нами переименованный Galaxy C55, который выше...

ЦБ РФ сохранил ключевую ставку на уровне 16 % Инфляционные риски и «перегретость» кредитования пока не позволяют снижать ставку.

Курс биткоина достиг рекордной отметки в $67 000, продолжая подниматься к новому рекорду Капитализация рынка достигла 2,8 триллиона долларов, превысив предыдущий максимум в 2,7 триллиона долларов

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

7 причин некачественного кода в Unity-проектах Хорошего, годного кода в проектах на Unity мало. Поддержка унаследованных Unity-проектов представляет обычно немалые сложности, а разработка часто сталкивается с неестественными трудностями.Почему так? Казалось бы - Unity это просто, да и толковых программистов вроде хватает...

Foxconn разрешили вложить ещё $1 млрд в строительство завода в Индии Тайваньская компания Foxconn получила разрешение регулирующих органов Индии инвестировать как минимум ещё $1 млрд в строящийся в стране завод, на котором будет осуществляться сборка устройств по заказам компании Apple.

Foxconn разрешили вложить ещё $1 млрд в строительство завода в Индии Тайваньская компания Foxconn получила разрешение регулирующих органов Индии инвестировать как минимум ещё $1 млрд в строящийся в стране завод, на котором будет осуществляться сборка устройств по заказам компании Apple.

Первая в России нейросеть для генерации полноценного видео: Сбер представил Kandinsky Video Команда Сбербанка представила свою новую разработку в области нейросетей. Как отмечает пресс-служба, Kandinsky Video стала первой в России генеративной моделью для создания полноценных видеороликов по текстовому описанию.  Пример видеоролика от Kandinsky Video. Ил...

Детище BYD и Mercedes-Benz «в одиночку переломило тенденцию к снижению рынка минивэнов с 2017 года». Denza D9 стал самым продаваемым автомобилем класса в Китае Компания BYD объявила, что модель Denza D9 стала самым продаваемым минивэном на китайском рынке во всех ценовых категориях с совокупным объемом продаж 119 182 единиц с января по декабрь 2023 года. Denza D9 поступил в продажу в октябре 2022 года. В январе прошлого года о...

Россия намерена достичь углеродной нейтральности к 2050 году Россия намерена к 2050 году снизить выбросы СО2 на 60% от уровня 2019-го и на 80% от уровня 1990 года. По подсчетам аналитиков «ВТБ Капитала», для достижения заявленных показателей придется потратить 102,7 трлн руб. А углеродная нейтральность к 2060 году обойдется суммарно п...

Microsoft прощается с WordPad в последней версии Windows 11 Insider Build Данное изменение должно быть постоянным, то есть пользователи не смогут переустановить программу через официальные каналы после выхода стабильной сборки

От хаоса к порядку. Как мы внедряем стандарты в CDEK Привет, Хабр! Меня зовут Олег Бондарь, я архитектор решений в CDEK. В этой статье расскажу о стандартах — сводах правил и требований, которые позволяют всем участникам процесса быть в общем контексте, действовать единообразно и совершать меньше ...

Не всем нужны увлажнители: представлен умный осушитель воздуха Xiaomi Компания Xiaomi представила умный осушитель воздуха Xiaomi Mijia Smart Dehumidifier 13L, заявив, что предварительные заказы стартуют по цене 67 долларов. Xiaomi Mijia Smart Dehumidifier 13L оснащен большим резервуаром для воды емкостью 3 л, он получил большое выпускное...

Tesla планирует перейти на 3-нм чипы TSMC в 2024 году Компания TSMC получила заказ от Tesla на производство чипов для автопроизводителя на своем заводе в Аризоне.

5600 мА·ч, 24 ГБ/1 ТБ, экран OLED 2K, топовая камера. Все характеристики суперфлагмана Honor Magic6 RSR Porsche Design Honor еще не поставила точку в своей флагманской линейке на этот год: компания готовит к выходу модели Magic6 Ultimate Edition и Magic6 RSR Porsche Design. Официальная премьера состоится 18 марта, но характеристики версии RSR Porsche Design уже раскрыл известный информа...

Pixel 8 Pro с треском проиграл iPhone 15 Pro Max в сравнении скорости работы, не удержав в памяти пару приложений Авторы канала PhoneBuff провели сравнение быстродействия iPhone 15 Pro Max и Pixel 8 Pro. Как всегда, с применением роботизированного манипулятора.  Различные бенчмарки показывают, что SoC Tensor G3 в новом флагмане Google далека по производительности от флагманск...

Россия увеличит производство гелия к 2030 году В пресс-службе Института нефтегазовой геологии и геофизики представили прогноз по добыче гелия в России. По данным специалистов, к 2030 году объем производства гелия в стране может достигнуть 75 млн кубических метров и сохраниться на этом уровне в течение до середины XXI век...

[Перевод] Почему затемнение Солнца станет эффективным инструментом в борьбе с изменением климата Становится всё более очевидным, что мы не достигнем наших климатических целей. В 2022 году потепление уже достигло 1,26°C, а в середине 2030-х годов оно достигнет 1,5°C. Исследования даже показывают, что нынешняя климатическая политика приведёт к потеплению более чем на 2,5°...

Финальный квест в God of War Ragnarok: Valhalla на высоком уровне сложности будет почти невыполнимым Его так и не смог закончить ни один разработчик.

Huawei оправилась от американских санкций и смогла выйти на уровень доходов 2020 года Последствия санкций будут заметны ещё много лет

GPT-4 смог приблизиться к уровню врачей-экспертов в области офтальмологии Нейросеть набрала больше баллов, чем младшие врачи.

Финальный квест в God of War Ragnarok: Valhalla на высоком уровне сложности будет почти невыполнимым Его так и не смог закончить ни один разработчик.

Сделано в России: представлена полностью отечественная плата, совместимая с Arduino Крупнейший производитель российской микроэлектроники «Микрон» и  отечественный разработчик и производитель электронных приборов «Элрон» представили на выставке «ExpoElectronica 2024» полностью российскую Arduino-совместимую плату...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Капитализация Microsoft достигла $3,125 триллиона – это самая дорогая компания в мире Рыночная стоимость Microsoft достигла отметки в 3,125 триллиона долларов, превзойдя Apple и став самой ценной компанией в мире. Этот рост привел к тому, что Microsoft обогнала Apple, побив рекорд компании в 3,09 триллиона долларов, установленный 31 июля 2023 года. …

Представлена более дешёвая версия RedmiBook 16 2024: что урезали? Компания Xiaomi сообщила RedmiBook 16 2024 модельного года теперь доступен для предварительного заказа на Xiaomi Youpin по цене 475 долларов за 16/512 ГБ и 500 долларов 16 ГБ/1 ТБ. RedmiBook 16 2024 получил 16-дюймовый экран с разрешением 1920 х 1200 пикселей, кадровой ...

Зарядные устройства Qi2 от Anker будут заряжать iPhone с мощностью 15 Вт Вчера стало известно о том, что вместе с выходом iOS 17.2 в iPhone 13 и iPhone 14 появится поддержка зарядных устройств стандарта Qi2. Однако было точно непонятно с какой максимальной мощностью такие аксессуары смогут заряжать яблочные смартфоны. Сегодня представители компан...

Google ввел домен .meme – шутники всего мира, ваш выход Приготовьтесь к «по-настоящему революционному обновлению интернет-среды», поскольку реестр Google открывает домен .meme, позволяя веб-сайтам более прямо указывать на свою «специальность».

Wildberries ввел новые правила возврата товаров, от которых покупатели в ужасе Торговая площадка Wildberries представляет из себя один из крупнейших и наиболее известных на российской территории онлайн-магазинов, позволяющих совершать покупки через интернет, а затем получать оплаченные заказы в пункте выдачи заказов, либо с доставкой курьером в Читать...

В России всё больше старых машин. Это может стать серьезной проблемой с точки зрения аварийности, по мнению специалистов Средний возраст машин в России достиг 12,4 лет, что почти на 1,5 года больше, чем в 2022, что следует из заявления главы компании Gruzdev-Analyze Александра Груздева. Старые машины могут стать серьезной проблемой с точки зрения аварийности, по словам члена общественного...

Эксперт Князьков: Россиянам не грозит "банановый голод" – импортеры смогут найти выход из положения А тем временем в Эквадоре власти растерянно взялись за калькуляцию, не в силах понять, что незаменимых "поставщиков бананов" не бывает

Опрос по оценке рабочих процессов Оценка рабочих процессов через опросы представляет собой мощный инструмент, который позволяет организациям выявлять "узкие места", понимать потребности и ожидания своих сотрудников, а также формировать стратегии для повышения общей производительности и эффективности.Статья, ...

Xiaomi представила новый MIniLED-монитор Redmi G Pro 27 Xiaomi расширяет свой ассортимент мониторов с новым продуктом — Redmi G Pro 27, который уже доступен для предварительного заказа на официальном магазине. Предварительные заказы принимаются с 19 по 22 февраля.

VK Сloud представила новую версию частного облака для бизнеса VK обновила Private Cloud - платформу для построения частного облака в ЦОДе заказчика. Версия 4.0 обеспечивает повышенный уровень защищенности - ядро облака соответствует 17 приказу ФСТЭК. На обновленной платформе разработчикам стал доступен маркетплейс приложений. Компании...

iPhone 17 и iPhone 17 Plus могут получить 120-герцевые OLED-экраны ProMotion, которые до этого были только у Pro-моделей В следующем году iPhone 17 и iPhone 17 Plus могут быть оснащены OLED-дисплеями ProMotion с низким энергопотреблением. Да, впервые технология ProMotion, которая пока используется в Pro-моделях, станет доступна стандартным моделям iPhone. Об этом пишет издание The Elec со...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Пользователи Apple iPhone 15 Pro смогут поиграть в Resident Evil ... Новый анонс раскрыл дату запуска порта Resident Evil Village, который будет доступен на Apple iPhone 15 Pro и новых iPad. Capcom, компания-разработчик, подтвердила дату выхода игры.

Сиэтл – отличный город для вампиров И вот мы подходим ко второй технологической крепости в Америке – Сиэтлу. Это дом для Microsoft, Amazon, Boeing, Valve, Expedia и множества других больших и малых технологических компаний. Сюда переезжают многие IT спецалисты, особенно если они выбрали в качестве места работы...

Компания LG Electronics USA представила новую линейку ноутбуков 2024 Gram Pro и 2024 Gram Pro 2-в-1 Все модели доступны для предварительного заказа на сайте LG.com.

Defense Post: ВМС США заказали у Boeing партию истребителей F/A-18 на сумму $1,1 млд Компания Boeing продлевает выпуск палубных истребителей из-за поступившего заказа

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Компания NVIDIA оказалась в 6 раз дороже AMD Стоимость компании NVIDIA достигла нового исторического максимума, превысив отметку в $1.71 трлн, что в шесть раз превышает рыночную капитализацию ее конкурента AMD, составляющую $280.4 млрд.

Samsung встроила в свой 86-дюймовый экран под управлением Android 500-вольтовый конденсатор Несмотря на то, что Samsung использует в своих ТВ операционную систему Tizen, есть у компании и модели под управлением Android. Правда, это не телевизоры, а интерактивные дисплеи линейки WAD. И вот как раз в них обнаружилась интересная особенность. Samsung реализовала ...

Wildberries запустил двойную аутентификацию для защиты от случайных заказов Российский маркетплейс Wildberries, как и планировалось, запустил опцию дополнительного подтверждения заказа в приложении. Новая функция, по задумке разработчиков, призвана свести к минимуму возможность «случайных» заказов, которые могут быть сделаны с аккау...

Характеристики PlayStation 5 Pro – релиз в конце 2024-го и производительность на уровне GeForce RTX 4070 Журналист и инсайдер Том Хендерсон раскрыл спецификации и назвал сроки выхода PlayStation 5 Pro – консоль выйдет на рождественские праздники 2024 года, а её производительность сопоставима с GeForce RTX 4070. Так PlayStation 5 Pro будет оснащена процессором с архитектурой Zen...

5 проверенных способов повысить уровень энергии и работоспособность Вы сможете улучшить концентрацию, чувствовать себя бодрее и больше успевать.

Samsung Galaxy S25 и прочие будущие флагманы могут подорожать из-за «удивительного уровня производительности» Snapdragon 8 Gen 4 На состоявшемся на этой неделе мероприятии под названием Snapdragon Summit 2023 компания Qualcomm представила топовые однокристальные системы Snapdragon 8 Gen 3 (для смартфонов) и Snapdragon X Elite (для ноутбуков под управлением Windows). Также в компании сообщили, что...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Всё благодаря AMD и модерам. В Cyberpunk 2077 активировали генератор кадров от FSR 3, что повысило производительность RTX 3080 более чем втрое Как мы сегодня уже говорили, в Сети стал доступен мод, позволяющий активировать в играх с DLSS 3 генератор кадров из FSR 3, причём на видеокартах GeForce от RTX 20. Ниже можно видеть, как это уже сделали для Cyberpunk 2077.  Игру протестировали на ПК с RTX 3080 в ...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Это Vivo X100 Pro во всей красе. Новинка уже стала самой популярной среди всех Android-смартфонов на SoC Dimensity в Китае Компания Vivo опубликовала официальные изображения флагманского смартфона Vivo X100 Pro в четырех цветах. Кроме того, Vivo X100 и Vivo X100 Pro уже стали самыми популярными смартфонами на базе однокристальной системы Dimensity, согласно данным по предвари...

Представлен телевизор Hisense Mural с 10 динамиками мощностью 75 Вт Компания Hisense представила новый телевизор Hisense Mural TV 75R8K, который уже доступен для предварительного заказа на популярной площадке JD.com. Официальные представители заявили, что этот телевизор имеет новую крепёжную конструкцию, которая позволяет повесить его, ...

Экскурсия на производство ПК «Энергия» — о софте, железе и взрывающихся сосисках ПК «Энергия» — один из самых известных в России разработчиков и производителей электрощитового оборудования. Кроме того, компания разрабатывает свой софт на IntraSCADA.Нас пригласили на производство, чтобы мы смогли ознакомиться с процессами сборки и поделиться с читателями....

Объем памяти iPhone 16 может достичь 2 ТБ, но есть нюанс Новый отчет утверждает, что iPhone 16 Pro может поставляться с максимальным объемом памяти 2 ТБ, что было бы значительным увеличением по сравнению с текущим лимитом в 1 ТБ. В докладе, который исходит от корейского блогера yeux1122, говорится, что Apple сможет достичь этого б...

Мобильные Intel Core 14-го поколения хоть и самые свежие процессоры, но поддержки функций vPro не получат. Всё из-за наличия в линейке Core Ultra Компания Intel подтвердила, что её новейшие мобильные процессоры Core Ultra (Meteor Lake) будут поддерживать набор функций, скрывающийся за маркировкой vPro.  Напомним, это функции, которые Intel ориентирует на профессионалов и корпоративных клиентов. В своё время...

SSD почти достигли скорости в 15 ГБ/с. Crucial T705 стал самым быстрым на текущий момент SSD с интерфейсом PCIe 5.0 переходят на новый уровень производительности. Компания Crucial показала модель T705, которая предлагает скорость чтения до 14,5 ГБ/с.  Большинство самых свежих накопителей с PCIe 5.0 были ограничены показателями около 12-12,5 ГБ/с, так ...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Количество игроков в Honkai: Star Rail на PlayStation 5 достигло отметки в один миллион Star Rail от miHoYo достигла миллиона игроков на PlayStation 5 всего за месяц после своего релиза 11 октября

С момента выхода Starfield количество игроков онлайн в Steam постоянно снижается Количество онлайн-игроков Starfield в Steam приближается к уровню The Elder Scrolls V: Skyrim

Российские золотые резервы в прошлом году достигли рекордных с начала века значений Их доля в золотовалютных резервах достигла 26 %.

Компания Backbone представила контроллер ограниченной серии "DEATH STRANDING" Контроллер будет доступен для прямых заказов на сайте playbackbone.com по цене $124,99

На летающий автомобиль Model A стоимостью $300 000 поступило 2850 предзаказов Компания Alef Aeronautics, поддерживаемая SpaceX, получила 2850 предварительных заказов на летающий автомобиль стоимостью 300 тысяч долларов.

Компания бывшего владельца Twitter запустила Bitkey — аппаратный кошелёк для биткоинов Компания Block предпринимателя Джека Дорси, сооснователя и бывшего главы соцсети Twitter (сейчас X), объявила о запуске аппаратного криптокошелька Bitkey для хранения биткоина. Bitkey выполнен в форме чёрного шестиугольника, его дополняют мобильное приложение и набор ин...

Власти Франции одобрили распространение прошивки, позволяющей избежать запрета на продажу iPhone 12 Он снизит уровень электромагнитного излучения до нормативных значений.

Биткоин достиг нового исторического максимума Цена самой дорогой криптовалюты в мире достигла нового исторического максимума, превысив отметку в $70 000, после чего быстро пошла вниз. Однако на следующий день эти потери были восстановлены

Apple разрабатывает «умное» кольцо Apple Ring для мониторинга здоровья Предполагается, что Apple Ring сможет измерять пульс, уровень кислорода в крови, температуру тела и, возможно, другие метрики. Данные будут передаваться на смартфон.

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Почему Windows 10 до сих пор популярнее Windows 11 С момента выхода Windows 11 два года назад ее распространение шло медленно, многие пользователи предпочитали использовать Windows 10 или возвращались к ней, попробовав новую операционную систему. Несмотря на то, что Windows 11 рекламировалась как обновление, уровень ее распр...

Яндекс Маркет поможет предпринимателям купить готовые пункты выдачи заказов С помощью Яндекс Маркета любой предприниматель теперь сможет выбрать и купить готовый к работе пункт выдачи заказов — с уже установленной вывеской, оформленным помещением и фирменной мебелью.

Стоит ли покупать ноутбуки Digma Pro, если по характеристикам они выглядят выгоднее «китайцев» Вы либо слишком старый и уже не помните, либо слишком молодой и не застали времена... Но в РФ какое-то время были бешено популярны ноутбуки российских брендов — Roverbook на равных соперничали с Asus и Acer ещё во времена, когда ноутов Lenovo ещё в проекте не было. Послеп эт...

До выхода GeForce RTX 5090 и RTX 5080 осталось не так уж много. Стало известно, когда производители видеокарт ожидают анонс новинок Видеокарты GeForce RTX 50 действительно стоит ожидать уже в этом году.  Официально Nvidia, конечно, ещё ничего не говорила, но, как сообщается, производители видеокарт ожидают появления адаптеров нового поколения в четвёртом квартале. Компании ожидаемо ориентируют...

Развитие RISC-V & мультиклеточная архитектура Рост популярности RISC-V с момента его появления в 2010 году позволяет говорить, что архитектура состоялась.Пока успех архитектуры RISC-V — это, в первую очередь, ее открытость. Все остальные факторы вторичны. Минимализм системы команд, ее стандартизация, программная инфраст...

Flipper Zero можно превратить в игровой контроллер с помощью модуля на базе Raspberry-Pi С помощью модуля Video Game Module Raspberry-Pi добавляет выход DVI-D к Flipper Zero, позволяя подключить его к телевизору или внешнему монитору, а также использовать в качестве игрового контроллера.

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Смартфон Tecno Spark 20: бюджетный аппарат с большим объемом памяти Tecno Spark 20 можно сейчас приобрести в России по цене в районе 10-12 тысяч рублей в зависимости от объема памяти. За такие деньги приятный внешне смартфон с большим объемом памяти, стереозвуком, неплохим IPS-экраном и неожиданно не слишком плохой камерой вполне годен к при...

Аквариус получил ESG-рейтинг на уровне «А.esg» 30 января 2023, Москва – Национальное рейтинговое агентство (НРА) присвоило группе компаний Аквариус ESG-рейтинг на уровне «А.esg», что демонстрирует высокий уровень интеграции повестки устойчивого развития в деятельность компании и высокое качество соблюдения экологических ...

15 ноября открываются предварительные заказы на смартфоны Meizu 21 и 21 Pro Компания Meizu готовится представить флагманский телефон на базе процессора Snapdragon 8 Gen 3 в этом году.

Россияне смогут увидеть частное затмение Луны В пресс-службе Московского планетария, что в ночь на на 28 октября, жители России смогут наблюдать частное затмение Луны, которое продлится около 4,5 часов и достигнет максимальной фазы в 23:14 по московскому времени. На этот момент 12% видимого диска Луны погрузится в тень ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)