Социальные сети Рунета
Четверг, 16 мая 2024

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

AMD оценивает ёмкость рынка ускорителей для ИИ в $150 млрд И не особо боится конкуренции с NVIDIA и Intel.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Из-за боязни женщин Африканец живёт 55 лет в изоляции Многие из нас боятся многих вещей, таких как животные, вода, огонь или даже темные комнаты. А вот 71-летний мужчина попал в заголовки газет из-за того, что, по некоторым данным, он до ужаса боится женщин.

Технофобия: как люди боялись инноваций, но они так и не привели к концу света Бурное развитие нейросетевых технологий спровоцировало у людей серьезные опасения, что искусственный интеллект заберёт их рабочие места. И если раньше считалось, что такие технологические скачки могут угрожать только тем, кто выполняет простую и монотонную работу, то сегодня...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Android 15 будет определять местоположение смартфона даже в выключенном состоянии Android 15 сможет находить потерянные телефоны, даже если они выключены.

Эксперт рассказал, как по поведению кошки понять, что она вас боится Иногда кошки избегают определённого человека, даже если у того нет злых намерений. И связано это с тем, что он может чем-то вызывать у питомца страх.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

В Польше решили блокировать свои дороги – местные фермеры боятся конкуренции со стороны Украины А ведь не прошло три дня, как границу только-только разблокировали

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Как масштабировать объём трафика и количество целевых действий. Чеклист Даже если ёмкость трафика достигла предела.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Samsung и LG будут покупать меньше ЖК-дисплеев в Китае из-за нестабильных поставок Компании Samsung и LG снизят объёмы закупок LCD-панелей для своих телевизоров у китайских производителей. В основе этого лежат два определяющих фактора: снижение зависимости от «нестабильного» китайского рынка, а также прямая конкуренция с производителями из Поднебесной.

Сэм Альтман: создатель искусственного интеллекта, который боится восстания машин Если к вам неожиданно подойдет на улице блогер с видеокамерой, и попросит назвать имена основателей или руководителей крупнейших технологических корпораций, вы наверняка вспомните Билла Гейтса, Стива Джобса, Сергея Брина, Илона Маска, Марка Цукерберга, и, возможно, даже Дже...

TSMC объявила о планах выпускать «ультрасовременные» 1,6-нм ИИ-чипы к 2026 году Тайваньский чипмейкер TSMC на Североамериканском технологическом симпозиуме в Санта-Кларе, Калифорния, представил новую концепцию чипов А16 для конкуренции с Intel и закрепления своего лидерства в течение следующего десятилетия.

Apple ведет переговоры с Google об интеграции Gemini AI в обновление программного обеспечения iPhone Apple Inc. и Google обсуждают возможность внедрения Gemini AI в iPhone, что потенциально изменит приложения искусственного интеллекта и динамику конкуренции в технологической отрасли.

Сиэтл – отличный город для вампиров И вот мы подходим ко второй технологической крепости в Америке – Сиэтлу. Это дом для Microsoft, Amazon, Boeing, Valve, Expedia и множества других больших и малых технологических компаний. Сюда переезжают многие IT спецалисты, особенно если они выбрали в качестве места работы...

Программно-определяемые сети SD-WAN Современные сетевые архитектуры содержат в себе множество технологических решений. Классический подход предполагает построение сетевой инфраструктуры, в которой весь трафик идет по одному и тому же маршруту, независимо от того, какие приложения участвуют в этом информационно...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Starlink подключает 4G-смартфон к своим спутникам Компания Starlink под руководством Илона Маска совершила впечатляющий технологический прорыв: пиковая скорость загрузки данных со спутника на обычный сотовый телефон достигла 17 Мб/с. Эта инновация открывает новые возможности для доступа в Интернет, устраняя необходимость в ...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Переосмысление индустрии гибких смартфонов: Vivo и ... Конкуренция за переосмысление индустрии смартфонов обостряется, поскольку ведущие китайские технологические гиганты, Vivo и Transition, нацелились на представление первого в мире смартфона с сворачивающимся экраном.

Способность Китая получить технологическое лидерство будет во многом зависеть от государственной политики поддержки бизнеса И небольшим компаниям в этой сфере достанется определяющая роль.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

На CES 2024 показали «умное зеркало», определяющее у человека десятки болезней Канадская медицинская компания NuraLogix на технологической выставке CES 2024 представила «умное зеркало» для сканирования лица и выявления десятков болезней на основании полученных данных.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

В США всё больше опасаются технологической конкуренции с Китаем По словам аналитиков, Китай уже может производить необходимые комплектующие для устройств с поддержкой 5G, не уступающие американским аналогам

В США всё больше опасаются технологической конкуренции с Китаем По словам аналитиков, Китай уже может производить необходимые комплектующие для устройств с поддержкой 5G, не уступающие американским аналогам

Простая, недорогая, точная высокотемпературная электропечь своими руками Существует множество процессов, требующих нагрева до высоких температур, часто осуществляемых в печах различной конструкции. Традиционно — печь, сооружение массивное, энергорасточительное и дорогостоящее. Нередко наличие и габариты рабочей части печи — узкое место в техноло...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Xiaomi запустит продажи электромобилей SU7 в конце марта Китайская технологическая компания Xiaomi представила свой первый электромобиль SU7, стремясь войти в конкуренцию с Tesla и BYD на автомобильном рынке Китая.

Переговоры Китай-ЕС: лидеры обсудили конкуренцию между Китаем и ЕС в секторе новых технологий 6 мая Фон дер Ляйен и Макрон провели переговоры с председателем Китая Си Цзиньпинем. Помимо текущих военных конфликтов лидеры обсудили конкуренцию в технологическом секторе между странами.

ИИ научили точно определять поддельные сумки и кроссовки с точностью выше 99% Технологическая компания Entrupy разработала сервис на основе искусственного интеллекта, способный определять подделки среди дорогих брендовых вещей

В Японии придумали дамбу, которая не боится любых цунами Исследователи из Токийского технологического института планируют построить короткие подвижные дамбы с автономным питанием, которые смогут защищать и вместе с тем питать городскую инфраструктуру во время стихийных бедствий

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

В России разработали систему идентификации по клавиатурному почерку Ученые Кубанского государственного технологического университета представили новую систему идентификации пользователей по клавиатурному почерку и походке. Это решение позволяет определять человека по его уникальным особенностям взаимодействия с гаджетом.

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Zoom представил конкурента Google Документов на базе ИИ Zoom расширяет свои возможности, чтобы составить конкуренцию таким технологическим гигантам, как Google и Microsoft, представив приложение Zoom Docs. Оно представляет собой рабочую среду на базе искусственного интеллекта, предназначенную для составления, редактирования, обоб...

Pixel 8 Pro очень боится бенчмарков. Google даже запретила установку тестов производительности на смартфоны Ваще жесть.

У Microsoft появится собственный ИИ для конкуренции с GPT-4 и Google Gemini Microsoft разрабатывает новую масштабную модель искусственного интеллекта под названием MAI-1, которая может составить конкуренцию таким известным моделям, как GPT-4 от OpenAI и Google Gemini.

С Google разобрались, настала очередь Apple: ей грозит большой штраф за монополию Компания Apple находится на пороге крупного антимонопольного удара со стороны Европейского союза, поскольку регуляторы готовятся обрушить «молот правосудия» на технологического гиганта за якобы подавление конкуренции в App Store.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Huawei готовит гарнитуру для конкуренции с Apple на рынке VR Технологические гиганты вновь скрещивают рога, но уже на арене виртуальной реальности, и последний претендент — компания Huawei со своей собственной гарнитурой, о которой недавно пошли слухи. Этот шаг позиционирует китайскую компанию как прямого конкурента Vision Pro.

Я боюсь отношений: в чём причина и как это исправить Если в прошлом случилось что-то плохое, это не значит, что оно обязательно повторится.

Подоляк признал тотальную зависимость Украины от западных поставок вооружений Украина сама не может выйти на паритет с РФ, признаёт советник главы Офиса президента.

Как я перестал бояться и полюбил автоматизацию мобильных приложений — Robot Framework Часто автотестирование кажется новичкам чем-то невероятно сложным и недостижимым. Многие думают, что для того, чтобы начать писать автотесты, необходимо сначала получить глубокие знания в программировании, разобраться во всех технических тонкостях ручного тестирования и толь...

Разработка рекомендательных систем: три открытых библиотеки от Сбера Делимся своими открытыми библиотеками для разработки рекомендательных систем. Что? Да! Рассказываем подробнее. Всем известно, что Сбер это уже не просто банк, а огромная технологическая компания, которая включает в себя и сервисы компаний-партнёров: электронную коммерцию, ин...

Подъём в технологическом секторе вызвал максимальный рост ВВП Тайваня за два года По итогам прошлого квартала он достиг 5,2%.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

[Перевод] Почему затемнение Солнца станет эффективным инструментом в борьбе с изменением климата Становится всё более очевидным, что мы не достигнем наших климатических целей. В 2022 году потепление уже достигло 1,26°C, а в середине 2030-х годов оно достигнет 1,5°C. Исследования даже показывают, что нынешняя климатическая политика приведёт к потеплению более чем на 2,5°...

Один из худших кошмаров Apple: суд Великобритании начал антимонопольное расследование Апелляционный суд Великобритании нанес удар по технологическому гиганту Apple, предоставив Управлению по конкуренции и рынкам (CMA) полномочия на проведение расследования в отношении их мобильного браузера и облачных сервисов компании.

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

В Ozon разработали бюджетный сканер для моментального расчета габаритов товаров Команда Ozon Tech в Иннополисе разработала устройство, которое мгновенно считывает вес и определяет габариты товаров. Это происходит с помощью камер, технологии компьютерного зрения и алгоритмов машинного обучения. Также выстраивается 3D-модель объекта. Устройство может изме...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Китайский стартап Zhipu AI представляет новую модель большого языка Пекинская компания продемонстрировала технологические достижения, которых она достигла за последние три года.

«Китайская Google» представила аналог новейшей модели GPT-4, который ещё и лучше оригинала Китайский технологический гигант Baidu представил новую версию своей ИИ-модели, которая, по их словам, сможет составить конкуренцию ChatGPT-4 от американской OpenAI.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Все действия Apple в Евросоюзе обострят конкуренцию компании прежде всего с Google Вступивший в силу закон ЕС о цифровых услугах (Digital Markets Act, DMA), скорее всего, будет способствовать усилению и расширению конкуренции между Apple и Google. Такое мнение выразили обозреватели газеты The Wall Street Journal.

Почему можно выгореть даже на любимой работе и как этого избежать Постарайтесь найти новые увлечения и не бойтесь проявлять сильные эмоции.

Кто и как изобрел черный ящик Лично я всегда боялся летать. Не панически, но определенный дискомфорт испытывал. А из-за прошлой работы несколько лет назад мне часто приходилось это делать — иногда раз по 10 в месяц. Стресса хватало. Причем пугал даже не сам страх смерти (я же не боюсь на машине ездить, х...

Первый iPad от Apple официально вышел 3 апреля 14 лет назад Первый iPad от Apple официально вышел 3 апреля, и даже спустя 14 лет ни одна компания не смогла создать ему достойную конкуренцию

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Минюст США подал иск против Apple: производитель iPhone подавляет инновации Новым шагом, имеющим серьезные последствия для технологической индустрии, Министерство юстиции США подало антимонопольный иск против Apple. В иске, поддержанном 15 штатами и округом Колумбия, Apple обвиняется в злоупотреблении доминирующим положением на рынке с целью подавит...

Starship V3 будет на 20-30 метров больше, а взлётная тяга достигнет 10 000 тонн Starship V1 имел высоту около 121 метра, был оснащен 33 двигателями Raptor, характеризовался взлетной тягой 7590 тонн и грузоподъемностью 200 тонн. Starship V2 не сильно изменился. Он может вместить больше топлива и уменьшить массу для повышения надежности. Предполагает...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

WP: Зеленский боится отпугнуть мобилизованных и поэтому занизил цифру потерь ВСУ Быть "ухилянтом" на Украине сейчас очень модно даже вопреки желаниям киевских властей.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

[Перевод] Роботы наступают. И это хорошо Даниэла Рус из Массачусетского технологического института не беспокоится о том, что роботы захватят мир. Напротив, она представляет себе, как роботы и люди объединятся, чтобы достичь того, что ни те, ни другие не смогут сделать в одиночку. Читать дальше →

Главный принцип разработки интерфейсов Если вы достаточной древний, то на личном опыте знакомы с технологической энтропией: железо становится мощнее, но софт деградирует. Photoshop тормозит, а ТВ тупит даже при переключении каналов. Портится не только код, но и интерфейсы.С энтропией кода бороться тяжело. С интер...

Страх и ненависть в собеседованиях: от позорного провала до 24-кратного роста ЗП Собеседования больная тема для многих людей — кто-то ходит на них для проверки своих знаний, дабы не терять навыки, а кто-то прибегает к собесам только в самом крайнем случае: нужно повысить зарплату, хочется поменять проект или условия. Но все мы испытываем перед собеседова...

Российская экономика стала первой экономикой Европы Российская Федерация заняла пятую строчку в списке десяти крупнейших мировых экономик с учетом паритета покупательной способности (ППС) по итогам 2012 года, с показателем в $3,4 триллионa, следует из рейтинга Всемирного банка.

Теперь разъёма 12V2x6/12VHPWR стоит бояться и на GeForce RTX 4080 Super? С одной из тестовых видеокарт для СМИ в комплекте шёл некачественный кабель GeForce RTX 4080 Super только успела выйти на рынок, а уже зафиксирован как минимум один случай, связанный с проблемным портом 12V2x6, который является улучшенной версией 12VHPWR.  С проблемой столкнулся автор портала Igor's Lab. В его случае, похоже, она закл...

Huawei явила чудо? Продажи смартфонов линейки Mate 60 всего за четыре месяца достигли небывалых 30 млн единиц С момента запуска смартфонов Huawei линейки Mate 60 мы не раз слышали об их отличных продажах. Новые данные это подтверждают.   Оказалось, что Huawei сумела реализовать уже 30 млн аппаратов, и это при этом, что линейка вышла на рынок всего четыре месяца назад! Так...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Соединённые Штаты планируют расширить подсанкционный список китайских компаний Администрация Байдена стремится не дать Китаю достичь такого же уровня технологического развития, на котором находятся США

Спутники SpaceX Starlink передают между собой 42 млн ГБ данных ежедневно Сеть спутникового интернета Starlink от SpaceX достигла нового технологического рубежа, ежедневно передавая между собой более 42 ПБ данных.

Как не бояться тратить крупные суммы? Обсуждаем в подкасте «Кто бы говорил» Выясняем, как решиться на большую покупку и стоит ли баловать себя, если не уверен в собственной финансовой стабильности.

Китайские машины хотят изгнать из такси в РФ: рынок могут поделить Haval, Evolute, «Москвич» и АвтоВАЗ На фоне того, что доля китайских автомобилей на российском рынке такси в ближайшее время может достигнуть 75%, в Госдуме планируют разрешить использовать в такси только собранные в РФ модели. Глава думского комитета по промышленности и торговле Владимир Гутенев заявил, ...

Военный эксперт Берлетик рассказал, что ракеты "Искандера" превосходят ATACMS по всем параметрам Даже американские военные видят очевидное и не боятся в открытую говорить об этом

Покупаем Gigabyte ПК за 165к Все любят кастомные сборки, выполненные в определенных цветах. Но что, если вместо цвета определяющим фактором станет производитель железа?

Нет, это не iPhone, хотя очень похоже. Опубликованы живые фото специальной версии Xiaomi Civi 4 Pro Живые фотографии специальной версии Xiaomi Civi 4 Pro опубликовал осведомлённый инсайдер под ником Ice Universe. Экран имеет овальный вырез под систему фронтальных камер, как у новых iPhone. Это черно-белая версия Xiaomi Civi 4 Pro, выпущенная ограниченным тиражом. Кор...

Технологический исход: у преемника Силиконой долины все очень плохо на фоне выросших расходов Некогда провозглашенный новой Кремниевой долиной, Остин становится свидетелем массового оттока технологических компаний, что ставит под сомнение его жизнеспособность как технологического центра.

Google официально стала стоить $2 триллиона на фоне развития ИИ Материнская компания Google, Alphabet, официально достигла рыночной стоимости в 2 триллиона долларов, что является значительным событием для технологического гиганта. И это на фоне развития генеративного искусственного интеллекта и проблем с регуляторами.

Бразильская лягушка-листоед умеет издавать такие громкие звуки, что её боятся даже крупные хищники Как оказалось, лягушка использует сложный механизм защиты, который до конца не изучен

Путин призвал российские банки перестать бояться санкций и начинать активно работать в новых регионах Действительно: всё уже случилось, санкции введены. Даже Сбербанк, наконец-то зашедший в Крым, всё понял.

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Bitcoin добрался до своего исторического максимума Сегодня достаточно известная криптовалюта Bitcoin официально достигла своего нового исторического максимума, оправдывая предсказания различных специалистов о том, что данная криптовалюта в будущем может достигнуть отметки в 200 тысяч долларов за одну цифровую монету. Букваль...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Лидерство на расстоянии: назван способ управления людьми в мире удаленной работы Прошли времена угловых офисов и бесед в кубриках. В современном технологическом ландшафте удаленная работа становится нормой и требует изменения стиля руководства, считают эксперты HackerNoon. Но не бойтесь, как начинающие, так и опытные технологические лидеры, по словам рес...

Тему технологической независимости обсудят участники форума «Сделано в России» 20 октября на Международном экспортном форуме «Сделано в России» обсудят важнейшую составляющую суверенитета современного государства — технологическую независимость: как ее добиться и что делать, чтобы отечественные технологии заняли свои ниши внутри России и за ее пределам...

Создан 3D-принтер, который сам определит параметры материалов Ученые из Массачусетского технологического института (MIT), Национального института стандартов и технологий (NIST) США и Национального центра научных исследований Греции создали 3D-принтер, способный автоматически определять параметры неизвестных материалов. Это позволяет ис...

[recovery mode] Знакомство с IPv6 на практике В 2023 году люди боятся многих новых для них вещей, например, systemd, SELinux, IPv6 и др. От этих вещей люди стараются избавиться, отключить, удалить. Об этом написано во множестве любительских мануалов в интернете, коим может являться и этот. Далее речь пойдёт о протоколе ...

В погоне за самодостаточностью в полупроводниковой промышленности Китай столкнулся с огромными убытками Ведущий китайский специалист в области полупроводниковой промышленности заявил, что китайская индустрия проектирования микросхем в этом году столкнулась с огромными убытками на фоне чрезмерной конкуренции и наплыва в этот сектор из-за стремления Пекина к большей самодос...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

170-летнее правило нарушено, открыты новые рубежи в сверхпроводимости Исследователи поняли, что давний закон, определяющий поведение металлов, разрушается в причудливом царстве квантовых материалов. Закон Видеманна-Франца, который поддерживался в течение 170 лет и определял связь между электронной и тепловой проводимостью металлов, рушится в «...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Samsung Galaxy S24 Ultra сразился с iPhone 15 Pro Max и проиграл, хотя и очень незначительно. Смартфоны сравнили по скорости запуска приложений Авторы канала PhoneBuff свели в противостоянии новейший Samsung Galaxy S24 Ultra и iPhone 15 Pro Max.  Тест, как всегда, проводился с использованием роботизированного манипулятора, что избавляет от человеческого фактора.  Как можно видеть, производительность ...

Держись, Маша! Ты, ведь, наша! Продолжение разбора книги «Цифровая схемотехника и архитектура компьютера» Упомянутая в заглавии книга (далее H&H) - это про железо [15]. Я - про программирование, но на базе "железной модели" конечного автомата. И там и там математическая основа одна. Все это, действительно, крутая железная концепция, помогающая поставить не только синтез цифр...

Биологическая нейросеть и эффективность обучения. Сравнение БИ с ИИ Сейчас очень много размышлений над сильным ИИ, нейросетями, влиянием нейросетей.Но у нас и так есть миллиарды сильных И в биологическом исполнении. Зачем грезить/бояться/строить теории относительно будущего с сильным искусственным интеллектом, когда есть огромное количество ...

Тесты показывают, что высокотемпературные сверхпроводящие магниты готовы к плавлению В Центре плазменной науки и термоядерного синтеза Массачусетского технологического института новые магниты достигли мирового рекорда напряженности магнитного поля в 20 тесла для крупномасштабного магнита.

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Техас и другие штаты подали к Google новый антимонопольный иск Власти штата Техас подали к Google новый иск с обвинениями в злоупотреблении своим доминирующим положением на рынке рекламных технологических систем. Череда судебных разбирательств с Google длится с 2020 года, когда к компании иск подала Техасская коалиция, обвинившая Google...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

FTC расследует инвестиции в OpenAI и Anthropic со стороны Microsoft, Google и Amazon Федеральная торговая комиссия (FTC) объявила о начале расследования инвестиций от ведущих технологических компаний, таких как Microsoft, Amazon и Google, в компании, специализирующиеся на искусственном интеллекте — OpenAI и Anthropic. FTC направила запросы официальные письм...

Снова люди будут бояться создания чёрной дыры на Земле? CERN продвигают проект нового ускорителя частиц, который будет в семь раз мощнее БАК Большой адронный коллайдер (БАК) является самым крупным ускорителем частиц в мире. Он останется таковым ещё долго, но в CERN уже продвигают планы по созданию намного более крупного коллайдера.  создано DALL-E Изначально CERN обнародовал планы по созданию нового ус...

AMD заявила, что не ограничивала разгон Radeon RX 7900 GRE. Проблему исправят в ближайшее время На днях видеокарта Radeon RX 7900 GRE вышла на глобальный рынок, и в Сети появилась масса обзоров этой карты. Кроме прочего, стало известно, что AMD ограничила возможности разгона новинки. Предполагалось, что это сделано ради удержания карты в её сегменте, дабы она не с...

На что способна видеокарта Intel Arc A580 с 256-битной шиной за 180 долларов. Тесты показали, что новинка получилась очень неплохой Итак, сегодня Intel наконец-то выпустила свою видеокарту Arc A580, оценив её всего лишь в 180 долларов. Сама Intel позиционирует новинку, как конкурента для Radeon RX 6600 и GeForce RTX 3050. Вторая ощутимо слабее первой, дороже. За карту Nvidia в США просят примерно от...

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Роутер Huawei установил сразу два рекорда скорости скорости передачи данных Компания Huawei достигла нового технологического прорыв, установив два мировых рекорда скорости передачи данных с помощью своего роутера в рамках тестирования Wi-Fi 7.

«Сколково»: снижение себестоимости разработок укрепит технологический суверенитет России В ходе Международного экспортного форума «Сделано в России-2023» вице-президент, исполнительный директор кластера энергоэффективных технологий Фонда «Сколково» Алексей Паршиков совместно с экспертами отрасли обсудил снижение себестоимости технологических продуктов для укрепл...

Как я проходила стажировку в отделе аналитических решений почти без опыта работы с данными Привет, меня зовут Луиза, я инженер данных в ЮMoney — работаю здесь уже год. Мы собираем данные, структурируем их, храним и создаём аналитические решения, например OLAP-кубы и дашборды. Департамент разделён на несколько команд, у каждой своя предметная область. За год я прев...

PwC: Рыночная стоимость 100 самых ценных компаний достигла нового рекорда Технологический сектор находится на подъеме благодаря буму ИИ, американские компании доминируют в рейтингах, а Nvidia добилась самого большого роста за год.

История полиграфа: как устройство из 1939 года обросло легендами и почему с тех пор не сильно изменилось На протяжении всей истории человечества поиск истины беспрерывно занимал умы мыслителей и ученых. Особенно, если истина касалась слов или показаний другого человека. Часто правда или ложь буквально относились к вопросу жизни или смерти, так что неудивительно, что человечеств...

Увольнения в IT-отрасли достигли максимума со времен краха «пузыря доткомов» «Золотой век» быстрого найма сотрудников в IT-отрасли, похоже, закончился. По данным сайта Layoffs. fyi, в 2024 году более 50 000 работников технологической отрасли уже успели потерять работу, после 260 000 увольнений в 2023 году.

100 000 среднебюджетных смартфонов Nothing Phone (2a) продали всего за сутки Лондонская технологическая компания Nothing, похоже, нашла общий язык с потребителями, поскольку ее новый смартфон среднего класса Phone (2a) достиг более 100 000 проданных копий за первые 24 часа после релиза.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Завтра старт продаж HONOR Magic V2 в России Многие китайские бренды боятся официально продавать свои смартфоны в России, боясь западных санкций. Видимо, HONOR это не волнует — складной смартфон Magic V2 собираются продавать в крупных сетевиках и это не серый импорт. Пока что можно сделать предзаказ со скидкой в том же...

Ученые создали радиационные детекторы, вдохновленные игрой «Тетрис» Ученые из Массачусетского технологического института (MIT) и Лаборатории Лоуренса Беркли разработали упрощенные версии радиационных детекторов, способных точно определять направление источника излучения. Инновацию, вдохновленную игрой «Тетрис», удалось демонстрировать на при...

Китайские видеокарты не способны составить конкуренцию даже простейшим решениям Nvidia и AMD. Флагманскую Moore Threads MTT S80 обходит даже встроенная графика Ryzen 8600G Moore Threads MTT S80 является одной из флагманских видеокарт китайского производителя: у нее 4096 ядер CUDA, 256-битная шина, 16 ГБ памяти GDDR6 и потребление 255 Вт. А еще поддержка шины PCIe 5.0 x16. На бумаге — все слагаемые если не топового решения, то хотя б...

Mercedes продолжит выпускать свой самый дешёвый бензиновый автомобиль, потому что электромобили пока очень дороги Mercedes A-Class должен был выйти из эксплуатации в 2024 году, но теперь его жизненный цикл продлен до 2026 года. Компактный хетчбэк является самым доступным Mercedes в линейке, его цена в Европе стартует от 37 400 евро. Генеральный директор Ола Каллениус признал, что д...

Приватный и безопасный планшет Volla достиг цели на Kickstarter Технологический стартап Volla, известный своими смартфонами, ориентированными на конфиденциальность, успешно преодолел первоначальную цель финансирования своего первого планшета Volla Tablet на Kickstarter.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Android 15 получит функцию поиска выключенных смартфонов Новейшие Pixel получат возможность определять свое местонахождение даже в выключенном состоянии.

Разработчики Immortal Wars: «Эта игра понравится фанатам Reverse 1999 и Honkai Star Rail» Immortal Wars — мобильная игра в жанре «idle-RPG», доступная в Google Play таких стран как Канада, Беларусь, Россия, Турция и так далее. Для проекта проходит пробный запуск, хотя в Google Play указано, что релиз состоялся 3 декабря. При этом список стран слишком мал — даже е...

Не кишечник определяет сытость и пищевые привычка, а ваш рот Исследователи из Университета Южной Калифорнии (UCSF), раскрыли удивительный поворот в управлении мозгом пищевыми привычками. Вопреки устоявшемуся мнению о том, что сигналы растяжения кишечника определяют сытость, исследование показало, что сигналы из ротовой полости, связан...

Индия успешно провела испытание межконтинентальной баллистической ракеты «Агни-5» Индия достигла нового оборонного рубежа, успешно запустив ракету «Агни-5», способную нести ядерный заряд на расстояние свыше 5 000 км. Этот шаг укрепляет стратегический потенциал страны и подчеркивает ее технологические достижения в области баллистических ракет.

Diablo IV прохладно приняли в Steam: рейтинг упал до 51 %, а «онлайн» не достиг даже 5,5 тысяч человек Зато дорого.

Доля электрокаров и гибридов на авторынке Китая достигнет 50% уже в 2024 году Вероятно, даже в первом полугодии

Исследование: большинство россиян не готовы расстаться с телефоном Исследование группы «Ренессанс страхование» и «Ситилинк» показал, что 66% россиян испытывают страх остаться без мобильного телефона. Даже серьезные поломки не заставляют их расставаться с устройством. 25% респондентов связывают свою привязанность к гаджету с напряженным обра...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

ИИ, алкоголь и хирургия Опасность скрывается повсюду. Любой вид деятельности человека, будь то работа или развлечение, может быть источником больших проблем или даже летальных последствий. Звучит вполне пугающе и крайне пессимистично. Если же бояться всего на свете, то жизнь теряет смысл, но никт...

Ветерана Dell назначили руководителем технологического фонда Саудовской Аравии Амбициозное технологическое предприятие Саудовской Аравии Alat стоимостью 100 миллиардов долларов назначило ветерана технологической индустрии Амита Мидху на должность своего генерального директора. Мидха ранее занимал пост президента по Азиатско-Тихоокеанскому региону и гло...

Asahi Linux превзошел собственную поддержку Apple на Mac с SoC компании Проект Asahi Linux, представляющий собой сообщество по внедрению Linux на компьютеры Mac с Silicon, достиг выдающегося результата: он превзошел собственную поддержку графических API OpenGL и OpenGL ES в macOS. Этот независимый проект, поддерживаемый энтузиастами, сумел опере...

Apple попыталась убедить клиентов, что «экологичность» компании будут оплачивать не они В своем недавнем заявлении на конференции Reuters NEXT Лиза Джексон, вице-президент Apple по экологии, политике и социальным инициативам, заверила пользователей, что технологический гигант не упускает из виду свои амбициозные экологические цели. Компания, стремящаяся достичь...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

В России смогут определять сепсис всего за одни сутки Фото: СамГМУ Ученые СамГМУ объявили о разработке весьма перспективной методики определения наличия бактерий, которые вызывают заражение крови. Он же сепсис, который может привести к инвалидности, стать причиной разного рода осложнений и даже смерти пациентов.

Если данные — это новый корпоративный актив, то как их можно эффективно использовать? Все организации — большие и малые — сегодня в полной мере осознают, что данные определяют их бизнес, пишет на портале InformationWeek Карлос Мелендес, операционный директор и соучредитель компании Wovenware. А как иначе? Данные называют «новой нефтью» ...

Ускоряем Dictionary в C# при помощи структур и CollectionsMarshal Если вы C# разработчик, то наверняка вам знаком класс Dictionary. В качестве значений вы, скорее всего, использовали классы. Но что если я скажу, что в Dictionary можно использовать структуры? Не стоит бояться того, что структуры копируются при передаче в метод или возврате ...

EVM opcodes: перестанем бояться байт-код Привет, Хабр! В этой статье я погружу вас подробнее в тему низкоуровневых машинных инструкций EVM. Будет описание, сколько стоят опкоды в единицах газа и где можно про это узнать. Еще посмотрим, как разбирать байткод на базе простого примера. Прежде чем читать эту стать...

DLP-процесс — высокоточная печать керамикой и металлами Первые изделия из грубой керамики появились более 30 тысячелетий назад, когда человечество научилось спекать глину, по сути, создав первый в истории искусственный материал. Так зародилась гончарная керамика. С тех пор технологический процесс неуклонно развивался, и сегодня н...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Стажёры рулят или Почему не надо бояться доверять молодым сотрудникам Боитесь стажёров? Не хотите тратить своё время на обучение начинающих специалистов? Задач много, а специалистов по ИБ мало?Делюсь опытом построения стажёрского конвейера на базе SOC. Может, это решит ваши проблемы.Многие российские современные IT-компании имеют стажёрские пр...

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

NASA воспользовалась конкуренцией между SpaceX и Boeing после отмены «шаттлов» После завершения программы Space Shuttle в 2011 году NASA полагается на две частные компании — SpaceX и Boeing — для доставки астронавтов на Международную космическую станцию (МКС). Такой подход с использованием двух источников обеспечивает NASA резервным планом на случай, е...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Модули памяти TeamGroup T-Force Xtreem DDR5-8200 по 24 ГБ: почему появились «небинарные» модули памяти и что это нам дает С самим комплектом TeamGroup T-Force Xtreem DDR5-8200 всё понятно: работа на пределе возможного предъявляет существенные требования не только к самой памяти, но и к прочим компонентам системы. Нам удалось достичь частоты 7733 МГц на системе с Core i9-12900K и Asus ROG Maximu...

Какими будут смартфоны будущего и почему гигабайты — не главное За последние 15 лет смартфоны претерпели значительные изменения, превратившись из простых коммуникационных устройств в многофункциональные инструменты, неотъемлемые от повседневной жизни. Если заглянуть в ближайшие 15 лет, то можно отметить несколько тенденций, которые, возм...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Япония демонстрирует рекордные скорости в тестах 6G технологии Японский консорциум, включающий технологических гигантов NEC, NTT Docomo, KDDI и SoftBank, объявил о успешном испытании беспроводного устройства для сетей 6G, достигнув скорости передачи данных в 100 Гбит/с. Этот рекордный результат открывает новые горизонты для сотовой связ...

Yahoo решила купить новостное ИИ-приложение от сооснователей Instagram* Artifact — новостное приложение с искусственным интеллектом от сооснователей Instagram*. Хотя оно так и не достигло массового успеха, его технология вот-вот охватит огромную аудиторию. Yahoo объявила о приобретении приложения, стремясь добавить «технологически продвинутую кр...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

ЕС достиг исторического соглашения о регулировании искусственного интеллекта Европейские законодатели достигли политического соглашения о регулировании искусственного интеллекта в ЕС.

Инфляция в Турции достигла рекордных 64,77 процентов В Турции наблюдается кризис в виде рекордно высокой инфляции, достигшей 64,77 процента.

The Hill: Украине придется заключить мир, если ВСУ не достигнут успеха в 2023 году В США назвали условие завершения конфликта на Украине

Эксперты ВШЭ оценили главные тренды технологических инноваций Российские учёные провели исследование и узнали, как изменились технологические тренды в промышленности

К концу 2024 года рынок ЦФА достигнет 250 млрд рублей В 2023 году российский рынок цифровых финансовых активов (ЦФА) достиг почти 100 млрд рублей

Китайский процессор Loongson 3A6000 обошёл Ryzen 9 5950X по тестам архитектурного потенциала Если бы 3A6000 выпускался по 5-нм технологическому процессу, то он был бы быстрее Ryzen 9 5950X

Что общего между раком крови, лазерами и нейронными сетями? Доброго времени суток, дорогой Habr, надеюсь вы успели заскучать после 3-х месяцев паузы (ссылка на прошлую статью). На связи снова Николай Иванов и сегодня вы узнаете, что общего между раком крови, лазерами и машинным обучением.  В этой статье мы поговорим с вами о мет...

[Перевод] 9 главных выводов ИТ-лидеров за 2023 год В этой статье ИТ-директора делятся своими соображениями о том, какие уроки они извлекли в прошлом году: о балансе между операционной деятельностью и инновациями, о разрывах между ИТ и бизнесом и о том, как извлечь выгоду из новых создателей ценности, таких как генеративный и...

Цена iPhone 15 продолжает обваливаться: в Китае установлен новый минимум Цены на iPhone 15 в Китае достигли нового минимума после того, как Apple сделала еще один шаг для привлечения покупателей. Сообщается, что официальный флагманский магазин Apple Store Tmall запустил услугу трейд-ин, по условиям которой покупатели смогут напрямую обменять...

Stocklytics: В 2023 году Apple купила больше ИИ-стартапов, чем любой другой технологический гигант Apple активно скупает технологические компании, связанные с искусственным интеллектом. Компания самостоятельно не в состоянии разработать ИИ-инструменты.

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Японцы объединяются, чтобы противостоять США и Китаю: Toyota, Honda и Nissan буду вместе создавать ПО для машин Три крупнейших японских автопроизводителя - Toyota Motor, Honda Motor и Nissan Motor - объявили о планах начать совместную разработку программного обеспечения для автомобилей. Как сообщила газета Yomiuri Shimbun, компании намерены отказаться от индивидуального создания ...

Palo Alto Networks присматривается к покупке двух стартапов По данным СМИ, если сделки состоятся, их общая сумма может достигнуть 1 млрд долларов.

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

Bloomberg: Из-за непогоды в России, начали расти цены на пшеницу На Чикагской товарной бирже биржевые цены на пшеницу достигли до $6,5 за бушель, достигнув максимума с августа 2023 года.

Apple обращается к Google за исследованиями в области искусственного интеллекта Если сделка между двумя технологическими гигантами будет реализована, она даст Google преимущество в области искусственного интеллекта

TSMC планирует освоить передовые 2-нм и 1,4-нм технологические процессы к 2025 и 2027 годам Apple, скорее всего, станет первым заказчиком обоих узлов, если в ближайшие несколько лет не произойдет чего-то неожиданного.

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Как канадские власти стимулируют конкуренцию среди интернет-провайдеров Мы уже рассказывали о проблемах, связанных с доступностью широкополосного интернета в США, и как их пытаются решить с помощью независимых общественных сетей. Такой подход способствует развитию конкуренции среди провайдеров на достаточно монополизированном рынке. Сегодня посм...

МТС ищет технологические инновации в области клиентского сервиса ПАО «МТС» сообщает о старте приема заявок на участие в МТС Challenge — программе отбора технологических проектов в области предоставления клиентского сервиса.

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

Откровения Марса: революционное поколение исследований горных пород и прошлого Красной Планеты Вокруг Марса всегда ходило множество теорий и гипотез. Важнейшими вопросами было наличие жизни на этой планете и возможность путешествия или даже заселения, однако человеческая экспедиция пока так и не достигла Марса, что усложняет поиск ответов. Что же стало решением э...

Эксперт спрогнозировал 2024 год: бесконечные видеоигры, доминация TikTok На HackerNoon вышел подробный взгляд на ключевые прогнозы на 2024 год, если говорить про технологическую сферу и не только.

Standard Chartered: Курс биткоина достигнет $100 000 к концу 2024 года По данным команды аналитиков банка Standard Chartered, возглавляемой Джеффом Кендриком, первая криптовалюта может достигнуть $100 000 уже к концу следующего года

ВСУ в составе ударной группы ГУР "Артан" пытались прорваться на Белгородчину, но были перехвачены Элитный украинский спецназ, танки, РСЗО потерпели провал, так и не достигнув даже промежуточных целей

Технически идеальное пиво: Nothing Beer (5. ... 1 апреля 2022 года технологический стартап Nothing выпустил новый продукт в своих аккаунтах в Twitter и Instagram под названием "Beer (5.1%)". Сегодня этот напиток от технологической компании можно приобрести в магазинах.

Технологические тренды 2024 года: ИИ займет центральное место По мере того как технологический ландшафт приближается к 2024 году, будущее становится яснее, чем когда-либо, считают эксперты: ИИ — действующий чемпион, SaaS — серебряный призер, а созвездие других тенденций, по их словам, освещает нам всем путь вперед.

Выбираем робот-пылесос: за что нужно платить в 2023 году В 2023 году датчиками высоты, гироскопом и влажной уборкой в роботе-пылесосе уже никого не удивишь. Современные модели умеют определять предметы на полу с помощью искусственного интеллекта, самостоятельно вытряхивают мусор и даже берут на себя часть задач по охране дома. Ред...

Автожир-беспилотник ThunderFly TF-G1 не боится полетов даже в штормовую погоду Разработчики из Чехии представили уникальный летательный аппарат, ориентированный на использование в условиях штормовой погоды. Речь идет о беспилотном автожире ThunderFly TF-G1, способном стартовать с крыши автомобиля во время движения. При взлетном весе в 25 килограммов он...

Apple изменит всю индустрию планшетов, если установит OLED в iPad, и при чем тут Samsung Apple изменит технологический ландшафт благодаря новому шагу, про который рассказывают инсайдеры — внедрению передовых OLED-экранов в будущих iPad и MacBook, пишет Nikkei Asia.

Фотон-вышибала: испарение воды без нагрева Одним из фундаментальных фактов является то, что вода испаряется при нагревании. Если в солнечный летний день поставить стакан воды на освещенную солнцем поверхность, то со временем воды в стакане станет явно меньше. Но, как уже не раз доказывала наука, даже самые явные и ...

Эксперты: электросеть США может не выдержать технологического бума Бурно развивающаяся технологическая индустрия и стареющая энергосистема Америки идут наперегонки, вызывая опасения у экспертов, что на горизонте возникнет катастрофическая нехватка электроэнергии.

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

InfiniBand в Windows — это просто К написанию этой небольшой инструкции меня привела статья на Хабре - Быстрая сеть в домашней лаборатории или как я связался с InfiniBand . Я был очень заинтригован данным вопросом, но каково было моё удивление, когда я не мог найти почти никакой информации по InfiniBand на W...

Курс биткоина достиг рекордной отметки в $67 000, продолжая подниматься к новому рекорду Капитализация рынка достигла 2,8 триллиона долларов, превысив предыдущий максимум в 2,7 триллиона долларов

Чего боятся стажеры? {Спойлер: всех отвлекать, все поломать, чего-то не знать, на код-ревью завалиться…} Мы все чего-то боимся. И это нормально: страх достался нам от наших предков как защитная реакция на какой-либо раздражитель, чтобы настроить организм на дальнейшие действия. И хотя времена мамонтов и саблезубых тигров давно прошли, наша биохимия никуда не делась. Главное – к...

USB Rubber Ducky своими руками. По-настоящему Я думаю для пользователей Хабра и пентестеров в частности, тема badusb устройств не является секретной. Это вещи действительно интересные и полезные, а наверное, самый известный такой прибор - это USB Rubber Ducky от компании Hak5. С ним есть проблема, эти устройства сейчас ...

Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Мы уже видели тесты новых процессоров Intel Meteor Lake и примерно представляем себе, что вышло у компании, хотя первые тесты были весьма поверхностными. Но теперь в Сети появился обзор, где авторы уделили намного больше внимания iGPU.  Процессоры были протестиров...

К Земле приблизится колоссальных размеров комета, которую можно будет увидеть 21 апреля огромная комета 12P/Понс-Брукс, или Понса-Брукса, достигнет самой близкой точки к Солнцу. На Земле это космическое тело можно будет увидеть даже невооружённым взглядом.

Эта технология сдала тест на получение водительских прав в США. Hyundai вложит около $1 млрд в Motional Hyundai Motor Group объявила об инвестировании почти 1 миллиарда долларов в стартап по автономному вождению Motional для исследований и разработок в области технологий автономного вождения. Hyundai Motor заявила: «Эти инвестиции направлены на получение стабильного...

Поворот на 360 градусов Сообщения о тех или иных технологических прорывах в наше время не редкость. Понятно, что далеко не всегда сообщения даже очень авторитетных источников соответствуют реальности. Но так было всегда. Разбор таких ситуаций, как правило, скучен. Кто-то что-то не так понял, не так...

Стеклянные накопители придут на замену HDD и SSD – устройства можно варить и даже замораживать При этом ёмкость одного накопителя достигнет 10 Пб, что соответствует 10 000 Тб

Увольнения в технологической отрасли набрали обороты: пузырь лопнул Несмотря на высокие показатели на Уолл-стрит, технологические компании продолжают увольнять сотрудников. Только за январь было сокращено более 23 000 рабочих мест в таких компаниях, как SAP, Microsoft, Brex, eBay, Google, Amazon, Unity и Discord.

Свой-чужой Создание своего технологического стека предполагает, что это автоматически обеспечит контроль над ним. И казалось бы, вот он истинный технологический суверенитет, а не какие-то полумеры. Но чем больше степень использования доморощенных компонентов и стандартов, тем дороже ок...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Марк Цукерберг объяснил, почему так много увольнений в технологических компаниях Марк Цукерберг заявил, что увольнения в технологическом секторе после пандемии объясняются несколькими факторами. По его мнению, есть две волны увольнений.

Тим Кук: высокая цена Vision Pro соответствует его технологическим возможностям и инновациям Кук сделал заявление о ценах на Vision Pro, подчеркнув технологические особенности продукта.

МТС запустил приложение «Защитник» для борьбы со спамом Российский оператор МТС запустил мобильное приложение, с помощью которого пользователь сможет определить, кто ему звонит, и пожаловаться на спам. Приложение умеет определять номер, даже если его нет в телефонной книге, правда, название организации определяется только пр...

Apple зарабатывает 3074 доллара в секунду Технологические гиганты пережили кризис 2022 года и остались относительно невредимыми, причем доходы многих из них и цены на акции в прошлом году резко выросли. Однако ни один из них даже близко не приближается к Apple. По данным AltIndex.com, Apple зарабатывает $3074 в…

700 млн устройств, 84 млн активных пользователей, отличные продажи смартфонов и рекордная прибыль. Xiaomi сообщила об отличном квартале Xiaomi опубликовала финансовый отчет за третий квартал 2023 года, который показывает, что валовая прибыль и денежные резервы Xiaomi достигли рекордного уровня в этом квартале. Общий доход достиг почти 10 млрд долларов, а скорректированная чистая прибыль достигла 840 млн...

Китай запустил спутник 6G. Пока технология остаётся на стадии экспериментов, исследования не останавливаются По данным China Global Television Network (CGTN), англоязычной государственной новостной организации, Китай запустил два экспериментальных спутника на низкую околоземную орбиту в начале этого месяца. Первый спутник, China Mobile 01, оснащён первым в мире спутником обраб...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Почему разгон процессоров сдулся 15 лет назад, а достичь 10 ГГц даже под азотом никогда не удастся Целых 15 лет ушло на то, чтобы повысить тактовую частоту процессора под жидким азотом с 8 ГГц до 9 ГГц

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Bitcoin подорожал до 30 тыс. долларов, Ethereum прибавила 5%. Итоги позитивной недели на рынке криптовалют Для криптоинвесторов предпоследняя неделя октября оказалась одной из самых позитивных за последние несколько месяцев: рынок вырос и достиг отметки в 1,4 триллиона долларов. Локомотив – Bitcoin, но некоторые криптовалюты подорожали даже больше. За прошедшие семь д...

Израиль отправляет всех на войну — существует ли угроза технологическому сектору? Тысячи представителей технологической индустрии Израиля принимают участие в текущем военном конфликте. Как это отразится на стартапах и всей технологической индустрии в целом?

У дилеров закончились Lada Vesta дешевле 1,6 млн рублей. Спрос на модель упал К середине января 2024 года у российских дилеров Lada Vesta накопились значительные двухмесячные запасы в дорогих комплектациях, о чем пишет Autonews.ru. Глава ассоциации Российские автомобильные дилеры (РОАД) Алексей Подщеколдин отметил, что реальная стоимость этих авт...

Количество игроков в Honkai: Star Rail на PlayStation 5 достигло отметки в один миллион Star Rail от miHoYo достигла миллиона игроков на PlayStation 5 всего за месяц после своего релиза 11 октября

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Российские золотые резервы в прошлом году достигли рекордных с начала века значений Их доля в золотовалютных резервах достигла 26 %.

Как задеплоить сайт. Инструкция для новичков Опубликовать сайт можно разными способами. Например, если проект лежит в репозитории на Гитхабе, можно воспользоваться GitHub Pages. Но если вы не боитесь трудностей, советуем попробовать кое-что поинтересней — разместить сайт на облачном сервере. Новичкам этот способ може...

АвтоВАЗ расширил цветовую гамму Lada Vesta NG: машины начали красить в ч?рный цвет Как сообщил инсайдерский паблик Avtograd News, со вчерашнего дня АвтоВАЗ расширил цветовую палитру Lada Vesta NG: машины начали красить в чëрный цвет. «С 26 октября АвтоВАЗ добавил в цветовую гамму автомобилей Vesta чëрную эмаль, машины в таком окрасе у...

Министр торговли Китая встретился с мировыми технологическими титанами Министр торговли Китая Ван Вэньтао провел переговоры с руководителями мировых технологических гигантов, таких как Apple и SK Hynix, в преддверии Китайского форума развития, стремясь привлечь иностранных инвесторов.

Отражение массового добровольческого движения в Израиле на технологическом секторе Тысячи представителей технологической индустрии Израиля принимают участие в текущем военном конфликте. Как это отразится на стартапах и всей технологической индустрии в целом?

Экс-сотрудник Samsung задержан за передачу технологических секретов в Китай Экс-сотрудник Samsung Display был арестован в Южной Корее за утечку технологической информации своим компаниям в Южной Корее и Китае. Его обвиняют в передаче секретов стоимостью в $300 млн.

Акции TSMC достигли исторического максимума Компания Taiwan Semiconductor Manufacturing Co. (TSMC) достигла своего исторического максимума, следуя глобальному взлету акций чипов, обусловленному оптимизмом по поводу искусственного интеллекта.

Биткоин достиг нового исторического максимума Цена самой дорогой криптовалюты в мире достигла нового исторического максимума, превысив отметку в $70 000, после чего быстро пошла вниз. Однако на следующий день эти потери были восстановлены

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

В Китае оформили уже 30 000 заказов на новейший кроссовер Li Auto L6, а в России он уже подешевел Li Auto сообщила о том, что объем заказов на кроссовер Li Auto L6 достиг отметки в 30 тысяч. Это говорит о большой популярности кроссовера, ведь заказы начали принимать всего 16 дней назад. В компании подчеркнули, что поставки заказанных машин осуществляются бесперебойн...

7 полезных технологических подарков стоимостью менее 3000 рублей Не за горами Новый год, но еще есть время заказать необычные, но полезные технологические гаджеты. От USB-блокировщиков данных до перезаряжаемых грелок для рук — 7 идей подарков стоимостью до 3000 рублей.

Технологическая отрасль испытывает замедление темпов увольнений: переход от сокращений к найму Темпы увольнений в технологической отрасли значительно замедлились за последние месяцы, с пиком в январе и последующим снижением более чем на 90%.

Мировому технологическому сектору в 2024 году предрекают парадоксальный всплеск увольнений Несмотря на бурный рост экономики и развитие искусственного интеллекта, в начале 2024 года в технологическом секторе произойдет парадоксальный всплеск увольнений, сигнализирующий об изменении динамики рабочей силы.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

50 вопросов для опроса по метрике CES (Customer Effort Score), для вашего вдохновения В современном мире бизнеса, где конкуренция за внимание и лояльность клиентов невероятно высока, ключевую роль играет понимание и улучшение клиентского опыта. Одной из центральных метрик, оценивающих этот опыт, является Customer Effort Score (CES). CES измеряет усилия, котор...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

GeForce RTX 4080 в 4K не справляется с Unreal Engine 5.4 Gorgeous Industrial Factory Tech Demo Демо-версия технологического процесса Industrial Factory на Unreal Engine 5.4 показывает удивительные визуальные эффекты, однако это требует огромных вычислительных ресурсов и может быть недоступно даже для самых мощных графических карт, таких как RTX 4080, при использовании...

Утечка фото чехла для iPhone 16 подтвердила отход от квадратного модуля камер В этом году линейка iPhone может претерпеть изменения в дизайне, если верить утечкам чехлов для iPhone 16 и 16 Plus. Фирменный квадратный модуль камеры, который определял iPhone на протяжении последних лет, похоже, получит дизайн, напоминающий iPhone X.

Книга: «Кибербезопасность: главные принципы» Привет, Хаброжители! С 1970-х годов InfoSec-специалисты постепенно совершенствовали безопасность, даже не задумываясь, в правильном ли направлении со стратегической точки зрения они движутся. Рик Ховард утверждает, что нет. Общее направление само по себе было ошибочным, но...

Apple разрабатывает складной планшет или даже ноутбуки Судя по последней информации, компания Apple в конечном итоге всё же выйдет на рынок складных устройств, так как на рынке уже достаточно качественных дисплеев и поставщиков. Например, если верить информации инсайдеров, компания Samsung перестраивает свою команду разработки д...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Кружковое движение НТИ запускает пятый Всероссийский конкурс кружков Кружковое движение Национальной технологической инициативы (НТИ) начало прием заявок на пятый Всероссийский конкурс кружков 2023 года. К участию приглашаются детские и молодежные технологические кружки, студенческие технологические команды и учреждения, выступающие площадкам...

Прием заявок на Всероссийский конкурс кружков продлен до 15 декабря Кружковое движение Национальной технологической инициативы (НТИ) продлило прием заявок на пятый Всероссийский конкурс кружков. К участию приглашаются детские и молодежные технологические кружки, студенческие технологические команды и учреждения, выступающие площадками для ра...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

В Перми научились создавать неубиваемые сенсорные экраны из дерева и металла Российские ученые нашли способ побороть хрупкость стеклянных сенсорных дисплеев (и предательскую гравитацию). В Пермском Политехе разработали новый долговечный сенсорный экран, который определяет точку касания по звуку. Поверхность такого экрана может быть любой формы и из л...

Microsoft, может уже пора просто выпустить Windows 12? Доля Windows 11 падает уже второй месяц подряд Рыночная доля Windows 11 демонстрирует отрицательный рост уже второй месяц подряд: если в феврале доля этой операционной системы составляла 28,16%, то сейчас она опустилась ниже 26%. Такие данные содержатся в отчете Statcounter за апрель 2024 года. За прошлый месяц дол...

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Гражданские конфликты в Эфиопии разрушают страну изнутри В Эфиопии началось новое восстание, возглавленное ополчением Фано из региона Амхара. Ополчение захватило населенные пункты и даже аэропорт, а напряженность между амхарами и аромами достигла пика

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

А что, если вместо бюджетного Xiaomi или Samsung взять флагманский смартфон Blackview? Какое-то время назад смартфонам Blackview (как и Doogee, Umidigi, Oukitel, Jiayu, Coolpad, господи, сколько их всех...) пророчили, мол, «научатся делать смартфоны, подвинут дорожающие Xiaomi и поставят зажравшихся Samsung, LG и Sony с их ценами на место!». Я это слышал приме...

Сбербанк создаст два новых блока для развития ИИ Сбербанк анонсирует изменения в своей структуре, сосредотачиваясь на развитии и использовании искусственного интеллекта. В рамках этих изменений созданы два новых технологических блока: «Технологии» и «Технологическое развитие».

Стоит ли обновляться на iOS 17.5 в России Бояться нечего

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Nvidia достигла капитализации в $2 триллиона В пятницу Nvidia достиг исторической отметки, впервые превысив рыночную стоимость своих акций на 2 триллиона долларов. Этот скачок был вызван оптимистичным отчетом компании Dell Technologies, одного из крупнейших клиентов, и отражает бурный рост рынка искусственного интеллек...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

CES 2024: самые интересные устройства и системы, которые показали на крупнейшей технологической выставке мира International Consumer Electronics Show, или сокращённо CES, — событие, которого с нетерпением ожидают все гики мира. Сегодня поговорим о том, что привлекло наше внимание на CES 2024. Если вы не увидели в списке того, что понравилось именно вам, расскажите об этом в коммента...

У смартфонов появятся ядерные батареи: спасибо китайцам Китайская технологическая компания Betavolt Technology сообщила о разработке небольших ядерных батарей, сейчас пилотные версии проходят тестирование. Если все пойдет по плану, вашему смартфону, возможно, больше не потребуется зарядка.

Федеральная торговая комиссия США (FTC) изучает партнёрство Microsoft и OpenAI Агентство Bloomberg со ссылкой на собственные источники сообщает, что Федеральная торговая комиссия США (FTC) изучает финансовое партнёрство между Microsoft и OpenAI на предмет нарушения антимонопольного законодательства. Отмечается, что речь идёт о предварительной проверке...

Китайские автомобили в два раза и даже больше в России, согласно исследованию автомобильного сервиса «Дром» Средняя стоимость подержанного автомобиля из Китая выросла вдвое, достигнув отметки в 1,8 млн рублей, согласно исследованию автомобильного сервиса «Дром». За прошедший год цена подержанных китайских автомобилей увеличилась на 102%, до 1,8 млн рублей. В то вр...

РАН: в стране сформировался дефицит рабочей силы Исследование, проведенное в ФГБУН «Институт экономики РАН», показало, что по итогам 2023 года в стране сформировался дефицит рабочей силы, достигший около 4,8 миллиона человек. Эта нехватка персонала проявилась даже при стабильном количестве работающих граждан, пишет «Прайм»...

У вас лишь один шанс ее увидеть вживую: комета Галлея почти достигла «конечной остановки» Комета Галлея, самая известная путешественница Солнечной системы, в эти выходные достигнет рекордной отметки своего 75-летнего цикличного путешествия. Куда же она направляется? В субботу, 9 декабря, она достигнет афелия, самой удаленной точки от Солнца.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Крупнейший солнечный парк в мире достиг важной вехи — установлено 2,4 млн солнечных панелей Ожидается, что в течение следующих 5 лет мощность станции в Хавде достигнет 30 000 мегаватт. Солнечная ферма могла бы снабжать энергией целые страны.

Что такое болезнь Х и почему её не стоит бояться Эта болезнь — совсем не то, чего вы ожидаете.

Apple устранила ужасный баг с выходом iOS 17.2 Бояться больше нечего

Кто такой — джуниор в FAANG? Все мы понимаем, что означает слово Junior в контексте разработки софта. Человек без опыта, недавно попавший в профессию, часто делающий ошибки, требующий помощи и наставлений. Но как так получается, что в FAANG на Junior позиции ...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Свет может испарять воду даже без передачи тепла Ученые из Массачусетского технологического института совершили необычное открытие – они обнаружили, что вода может испаряться даже без нагревания. Для этого достаточно воздействия на нее света, который не несет тепловой энергии. Явление получило название «фотомолекулярного э...

Intel Core i9-14900K поставил мировой рекорд разгона с частотой 9,1 ГГц Флагманский процессор Intel 14-го поколения Core i9-14900K установил новый мировой рекорд, достигнув ошеломляющей частоты 9,1 ГГц. Этот замечательный результат был достигнут оверклокером Elmor, который разогнал Core i9-14900K до новых высот.

«Росатом» выделил 100 млн рублей на развитие технологических стартапов Госкорпорация «Росатом» выделяет финансирование на развитие технологического предпринимательства в МИФИ. Средства, предоставленные в рамках программы «Развитие НИЯУ МИФИ во взаимодействии с госкорпорацией «Росатом», будут направлены на поддержку стартапов, прошедших акселера...

В Белгороде создали игровую платформу для выбора профессии школьниками В пресс-службе Платформы Национальной технологической инициативы (НТИ) сообщили, что специалисты из Белгородского государственного технологического университета имени В. Г. Шухова (БГТУ) создали новую игровую платформу «Профгейм», благодаря который школьникисмогут определить...

При МГУ началось строительство инженерного кластера На территории научно-технологического центра МГУ имени М. В. Ломоносова началось строительство кластера «Инжиниринг». Здесь, на площади 20 тысяч квадратных метров, будут размещены лаборатории и площадки для проведения экспериментов научно-технологических компаний, занимающих...

На CES 2024 всего одна компания получила звание худшей дважды: ей оказалась BMW На технологической выставке CES 2024 есть даже такая номинация, как «Худший на выставке». В этом году были представлены разные продукты, которые назвали «странными», но при нескольких номинантах только одна компания удостоилась звания худшей сразу за два решения.

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Кошки, которые не боятся воды Все кошатники точно знают, что их питомцы не любят купаться. Но и среди кошачьих есть те, которые не боятся воды

США планируют инвестировать в экономику и демократию Армении в 2025 году $52 млн Бойтесь данайцев, дары приносящих.

Samsung и SK hynix под выпуск HBM переориентировали до 20% мощностей для производства DRAM И они ничуть не боятся вероятного перепроизводства.

TSMC не боится перепроизводства чипов по зрелым технологиям И будет расширять выпуск 28-нм продукции.

10 захватывающих фильмов про горы и альпинистов Эти картины лучше не смотреть тем, кто боится высоты.

Mazda не боится опоздать с выпуском электромобилей К 2030 году Mazda хочет предложить восемь электромобилей

6 способов получить деньги, которые мы игнорируем — и совершенно зря Не стесняйтесь и не бойтесь прослыть корыстным.

О кошках, которые не боятся воды Все кошатники точно знают, что их питомцы не любят купаться. Но и среди кошачьих есть те, которые не боятся воды

Как я научился не волноваться и полюбил технологическую сингулярность Часто ли вы думаете о Древнем Риме технологической сингулярности? С последним рывком в развитии ИИ и растущем присутствии роботов в окружающей реальности и новостной повестке, самое время вспомнить о том, что это такое; взять исходные постулаты этой идеи, раскрутить их до ло...

ИИ Microsoft появится и в Windows 10: что нужно знать В ближайшем обновлении Microsoft собирается добавить в Windows 10 своего популярного ИИ-помощника Copilot, если верить инсайдам WindowsCentral. Функция, представленная в Windows 11, была хорошо принята пользователями, и теперь технологический гигант планирует распространить ...

Минэкономики пропишет в законе право на потери госвложений в технологические активы Ведомство собирается предусмотреть возможность без риска преследования списать в убыток неудачные инвестиции в IT-проекты в случае, если были соблюдены правила по управлению рисками. .

Россияне берегут свои Hyundai Creta, Skoda Rapid и Volkswagen Tiguan. Владельцы не спешат расставаться с этими машинами Аналитики «Автотеки» провели исследование и выявили самых лояльных владельцев автомобилей в возрасте от 3 до 5 лет. Оказалось, что реже всего со своими авто расставались те, у кого во владении машины марок Kia, Hyundai, Volkswagen, Renault, Toyota и Sko...

Дескрипторы в Python Привет, Хабр!Дескриптор — это объектовый атрибут с поведением, определяемым методами в его классе. Если просто — это способ, с помощью которого объект может контролировать доступ к его атрибутам, используя специально определенные методы __get__, __set__, и __delete__. Если г...

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Как объяснить градиентный спуск вашей маме: простое руководство Градиентный спуск лежит в основе большинства методов AI/ML. Звучит как-то странно и пугающе. Спуск? Блин, надеюсь, мне не придется прыгать с парашютом...

Киберпанк, который мы заслужили. Какие технологии внедряют в сельском хозяйстве Если у вас сельское хозяйство ассоциируется с чем-то не очень продвинутым технологически - самое время прочитать эту статью. Будущее ближе, чем мы думаем! Читать далее

AMD впервые достигла рыночной стоимости в 300 миллиардов долларов AMD достиг значительного рубежа, впервые подняв свою рыночную стоимость до 300 миллиардов долларов.

Почему я верю в востребованность кроссплатформы и Flutter в частности? Мир изменчив, а перспективы туманны. Новичкам зачастую не ясно, какие технологии изучать, а “старичкам” не всегда удается быть “на гребне технологической волны”. В этой статье я приглашаю проследить за моими рассуждениями на тему того, что ждет кроссплатформенную мобильную р...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Apple продолжает снижать цены на iPhone 15 в Китае, чтобы выдержать конкуренцию с местными компаниями Компания Apple продолжает снижать цены на свои смартфоны iPhone в Китае, чтобы справиться с растущей конкуренцией со стороны местных производителей. В честь 8 марта цены на актуальные смартфоны iPhone во флагманском магазине Apple Store Tmall были снижены...

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

17 мгновений миграции DWH X5 Group Каждый человек по мере взросления встречает множество вызовов на своём жизненном пути. Ответы на эти вызовы формируют его личность. То же самое происходит и с командой. Для нас, офиса CDO X5, пожалуй, определяющим был 2022 год. В том году мы выполнили проект такого масш...

Показаны очередные кадры с американскими «Абрамсами» в тыловых районах Украины Бойся меня, бойся! Я же такой страшный...

WP: В Киеве боятся перспективы вынужденных переговоров с Москвой Вынужденных со стороны западных спонсоров, надо понимать.

СМИ: В США опасаются возможного размещения Россией ядерного оружия в космосе Бояться будешь. Ты — будешь.

Новостные агрегаторы переживают не лучшие времена: за что их критикуют Крупнейшие интернет-платформы дистанцируются от новостей, создавая серьезную проблему для новостной индустрии, сообщает The New York Times. Даже Google, давний партнер новостных организаций, стал менее «надежным», что заставило издателей пересмотреть свою зависимость от техн...

У МАЗа появится грузовик, который сможет бросить вызов КамАЗу К5? Опубликованы изображения интерьера будущего MAZ-X Нынешние седельные тягачи МАЗ (вроде МАЗ-5440C5) прилично устарели и не могут составить конкуренцию КамАЗу К5, однако в Белоруссии работают над моделью нового поколения – MAZ-X (это кодовое обозначение). Какими будут характеристики, неизвестно, но уже опубликованы...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Почему в 2024 году лучше купить недорогой смартфон за 20-30 тысяч рублей, чем флагман Были времена, когда покупать какой-либо смартфон на Андроид, кроме флагмана, было категорически противопоказано. Тяжеловесность операционной системы вкупе со слабым железом тогдашних аппаратов просто не оставляли фанатов «зеленого робота» других вариантов. Хочешь свободы и ...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

В Массачусетском технологическом институте изобретено уникальное лекарство для похудения Группа ученых Массачусетского технологического института изобрела пилюли, начинающие вибрировать, попадая в желудок, в результате чего в мозг поступает сигнал об ощущении насыщения.

В России создан протез руки, который определять предмет наощупь, и разрабатываются искусственные мышцы Резидент «Сколково» компания «Моторика» внедрила нейрогенератор в технологию производства протезов рук, который способен определить предмет на ощупь, даже если пациент закроет глаза. Об этой технологии вице-премьеру - главе Минпромторга РФ Денису...

Жажда «цифровой крови»: как Google, OpenAI и Meta переступают черту ради развития ИИ Технологические гиганты OpenAI, Google и Meta* в погоне за онлайн-данными для обучения своих новейших систем искусственного интеллекта готовы на всё: игнорировать корпоративные политики, менять собственные правила и даже обсуждать возможность обхода законов об авторском прав...

Что нам стоит технологии для российского бизнеса построить: топ-5 отечественных разработок для промышленного сектора Технологическая отрасль в РФ не стоит на месте, многие направления продолжают развиваться. Особенно это актуально для промышленности. Сегодня предлагаю обсудить пять новых отечественных разработок - от автоматизации документооборота до прототипирования. Если интересно - поех...

Технологическая платформа МТС The Platform признана экосистемным решением года ПАО «МТС» стала лауреатом премии TAdviser IT Prize. Аналитическое агентство TAdviser признало The Platform победителем в номинации «Экосистемное решение года». The Platform является ядром технологической трансформации МТС из телеком-оператора в цифровую экосистему.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Стоит ли бояться токсоплазмоза и запрещать себе гладить котиков Руки всё же лучше мыть.

Мат (часть 8) Что самое главное в шахматах? Конечно МАТ! Именно умение ставить его, искать в любой ситуации путь к мату – определяет способность выигрывать. Если игрок не умеет ставить простейшие маты, не видит их – он просто не сможет выиграть шахматную партию. А еще - у матов есть разли...

[recovery mode] Как продвигать продукт через интеграции с партнёрами Может ли технологическое партнёрство и интеграции заменить ушедшие из России digital-каналы продвижения для B2B-продуктов? Поговорил с CEO и CPO Solar Staff, Dodo Brands, «Эвотора», сервиса «Финансист» и других компаний, чтобы узнать, как интеграции с технологическими партнё...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Cемиместный Mitsubishi, который не боится бездорожья, подешевел в России. Mitsubishi Xpander Cross стал доступнее на 200 тыс. рублей В России снизилась стоимость кроссовера-минивэна Mitsubishi Xpander Cross: если в декабре самая доступная машина оценивалась в 2,75 млн рублей, то сейчас цена самой доступной версии опустилась до 2,56 млн рублей. За столько автомобиль продают в Самаре.  Изображени...

Что не так с «Авророй» — российской мобильной OC Мы в KODE занимаемся мобильной разработкой и следим за развитием отечественного рынка. ОС «Аврора» появилась на наших глазах. Мы долго не понимали, успешна она или нет, и если да — почему до сих непопулярна. В статье собрали полную картину по открытым источникам, распутали п...

Индия грозит технологическим гигантам запретом за распространение дипфейков Индийские власти грозят заблокировать технологические компании, которые не будут бороться с распространением дипфейков. Это решение было принято после того, как в интернете появилась реклама с лицом известного спортсмена, который якобы рекламировал азартные игры. Он опроверг...

За 10 лет учёные создали первый в мире функциональный полупроводник из графена Ученые из Технологического института Джорджии разработали первый в мире функциональный полупроводник из эпитаксиального графена. Новая технология создания графеновых полупроводников открывает перспективы для электронной индустрии и будет улучшаться для новых технологических ...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Люди постоянно обесценивают чужие успехи. Вот почему не стоит этого бояться Не позволяйте никому вставать на вашем пути к цели.

Как принять возраст и изменения в теле: 5 советов для тех, кто боится стареть Разобрались, как воспринимать наступающую старость как привилегию, вместе с врачом-психотерапевтом.

Британская пресса: в ЕС перепугались на фоне внезапного визита Путина в Калининград Бояться надо. Страх от многих глупостей предохраняет.

Эксперт Леонков: наш дрон может по дну «подкрасться» к сторожевым катерам У России появятся морские дроны: почему ВСУ их боятся

Выбираем максимально защищенный смартфон: топ-7 лучших Неубиваемый смартфон не боится ни воды, ни пыли, ни ударов, ни падений. Рассказываем о самых интересных из таких гаджетов.

Сотрудники Ubisoft боятся возможных увольнений, презирают менеджеров-карьеристов и готовятся к худшему — так говорит инсайдер А оно и так видно.

Forbes: На Украину прибыли инженеры США и запретили участвовать в боях на Abrams из-за поломок А вы говорите русских танков они боятся

Welt: ЕС может "перенасытить" Украину российскими активами на миллиарды евро, но боится последствий Это вам не мелочь по карманам тырить

Какие навыки стоит развивать, чтобы вас не заменили нейросетью Учитесь действовать в условиях неопределённости и не бойтесь рисковать.

Названы причины, по которым кошки боятся собак Зачастую кошки не очень любят взаимодействовать с собаками. Но с чем это связано?

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

AMD Ryzen 9 7900X3D может подешеветь до 409 долларов AMD Ryzen 9 7900X3D может подешеветь до 409 долларов, столкнувшись с конкуренцией со стороны Core i7-14700K

Прошивка OpenWrt: один из наиболее популярных альтернативных вариантов для беспроводных роутеров В сегменте беспроводных маршрутизаторов аппаратная платформа и программное обеспечение определяют пользовательский опыт исключительно при совместной оценке как законченного продукта. Но если первая часть формально в любом случае стоит денег, то для второй существуют альтерна...

В США сотни женщин засудят Uber из-за водителей-насильников В США коллегия судей объединила в коллективный иск 80 дел о сексуальном насилии водителей Uber над пассажирками и направила в федеральный суд. Пострадавшие или даже выжившие после таких преступлений женщины требуют засудить Uber за отсутствие в автомобилях камер наблюдения и...

Учёные определили новые признаки жизни на планетах вне Солнечной системы, которые способен обнаружить «Джеймс Уэбб» Учёные из Массачусетского технологического института (MIT), Бирмингемского университета и других учреждений предложили новый подход для определения признаков жизни на планетах за пределами нашей Солнечной системы. Они предполагают, что низкое содержание углекислого газа...

В США подали иск на сервис Apple CarPlay Министерство юстиции США обратило своё внимание на информационно-развлекательную систему компании Apple под названием CarPlay, утверждая, что она используется производителем для блокирования конкуренции на рынке. В иске говорится, что следующая версия CarPlay получит доступ ...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Новые смартфоны будут получать меньше оперативки, чем старые. Почему так? Объем оперативной памяти долгое время оставался одной из характеристик, которую производители смартфонов долго и непрерывно улучшали. Начав с 2-3 ГБ, они в конечном итоге достигли совсем уж невероятных пределов, обойдя даже многие настольные компьютеры. 8, 12, 16, 24 ГБ ...

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Знаете ли вы, почему кошки боятся воды? Причины гидрофобии могут крыться в образе жизни их предков — но не только.

Daily Beast: Военные ВСУ в Купянске знают о пророссийских настроениях местных жителей Причем не только знают, но и сильно боятся

Подкаст «Слушай, это просто»: что такое технооптимизм и почему одни ждут прогресса, а другие его боятся Как говорил космолог и астрофизик Макс Тегмарк, думайте не о том будущем, которое вас пугает, а о том, какого бы вы хотели.

Трипофобия: почему люди боятся маленьких дырочек Неприязнь к пористым поверхностям появилась не просто так — она заложена в нас эволюцией.

WP: Киев без помощи США потеряет ещё больше своих земель, и он этого очень боится Ещё бы – второго шанса на "Стамбульский мир" больше не будет

Это Vivo X100 Pro во всей красе. Первый смартфон на Dimensity 9300 с LPDDR5T, рекордсмен AnTuTu с топовой камерой предстал на официальном изображении Cсегодня Vivo официально анонсировала Vivo X100 Pro и раскрыла дизайн задней панели нового телефона. Новинка получила большой блок основной камеры, которая создана совместно со специалистами Zeiss. Под камерой расположена надпись Xtreme Imagination. Это первый в ми...

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

Гроза NVIDIA: Google выпустил собственный ИИ-процессор Google усиливает конкуренцию в разработке ИИ-чипов.

AMD Ryzen 7 5700X3D может составить конкуренцию Intel Core i5-13600KF в некоторых играх За рубежом процессор появился в продаже.

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

Apple смогла разработать свои CPU и GPU, но не можем создать модем. Сообщается, что компания прекращает работу в этом направлении Компания Apple, возможно, прекратит попытки создать собственный модем 5G.   Напомним, компания работает над собственным модемом уже несколько лет, но постоянно сдвигает планы относительно выпуска такого продукта. В частности, соответствующий бизнес Intel был купле...

На острове Русский появится инновационный научно-технологический центр Владимир Путин, президент России, поручил правительству завершить на острове Русский создание инновационного научно-технологического центра (ИНТЦ) и второй очереди кампуса Дальневосточного федерального университета до 2030 года, пишет ТАСС ссылаясь на соответствующее поручен...

Apple удалила WhatsApp и Threads из AppStore в Китае по распоряжению правительства страны Компания Apple пару часов назад заявила, что удалила мессенджер WhatsApp и приложение Threads (принадлежат Meta*) из своего магазина AppStore в Китае.  создано DALL-E Само собой, Apple сделала это не по своей воле, а потому что так сказало правительство Китая, ссы...

Эксперты «Лаборатории Касперского» рассказали, как определять дипфейки Эксперты «Лаборатории Касперского» рассказали, как определять дипфейки по глазам

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Блинкен встревожен растущим уровнем взаимодействия РФ и КНДР в военной сфере Бояться — это правильно. Страх оберегает от безрассудных действий.

Республиканцы боятся голосовать за выделение помощи ВСУ из-за страха потерять поддержку избирателей А не прошло и два года спустя легендарной фразы "Весь мир с нами"

Эксперт рассказал, стоит ли россиянам бояться оспы обезьян Вирусолог рассказал, какую опасность для России представляет новая вспышка оспы обезьян

«Страх — это та черта, которая отделяет нас от безрассудства»: интервью с каскадёром Юрием Вашуриным Боятся ли каскадёры, какой трюк самый опасный и правда ли Джеки Чан крут.

Создатель ChatGPT объяснил, почему людям не стоит бояться увольнения из-за ИИ Генеральный директор OpenAI Сэм Альтман заявил, что ИИ создан для помощи людям в их рабочих задачах, а не для их замены.

Der Standard: ВСУ и Запад боятся окопного конфликта с Россией В статье отмечается, что контрнаступление провалилось, и теперь Украине нужно убеждать Запад в дальнейшей помощи.

ВС РФ отразили мощный удар беспилотниками со стороны Украины Кто-то вроде бы и боится сидеть всю зиму без света, но параллельно делает всё возможное, чтобы этого добиться.

Автономный программатор SWD При разработке и последующем производстве электроники неизбежно встаёт вопрос проверки собранных изделий. Если компания небольшая, а производство мелкосерийное, то в жертву рутине можно принести какого-нибудь начинающего специалиста, либо самого разработчика. Как правило, тр...

Вне корпорации: как уйти на вольные хлеба и не сломать себе жизнь Возможно, вас посещают мысли: «В найме я уже достиг потолка, в задачах уже нет вызова, доход особо не растет. Что дальше? Ведь с возрастом все будет только хуже». Возникают мысли о собственных проектах, но как к ним подступиться — непонятно. Расскажу о неочевидных моментах, ...

Смартфон Realme 11: недорогая и практичная модель с комфортными габаритами Realme 11 сейчас стоит около 20 тысяч рублей или немного дешевле. В целом, это приятный во всех отношениях компактный симпатичный смартфончик с небольшим весом и строгим дизайном, отличным AMOLED-экраном. Всё остальное хвалить нет причин, но и совсем уж откровенных минусов и...

Похоже, Китай без лишнего шума представил самый мощный суперкомпьютер в мире. Tianhe Xingyi основан на китайских CPU Похоже, Китай смог построить собственный суперкомпьютер эксафлопсного класса без чипов Intel, AMD или Nvidia.  создано DALL-E Речь о системе Tianhe Xingyi, которая, похоже, изначально называлась Tianhe-3 и была запланирована к запуску ещё в 2019 году.  В осно...

AMD продаёт за 290 долларов то, что GeForce RTX 4060 Ti даёт за 400-500 долларов. Появились тесты новых Radeon RX 6750 GRE Компания AMD сегодня представила видеокарты Radeon RX 6750 GRE 10GB и Radeon RX 6750 GRE 12GB. В отличие от RX 7900 GRE, которая является самостоятельной моделью, указанные две новинки практически полностью копируют RX 6700 и RX 6700 XT. Но при этом новинки намного деше...

Apple не выдерживает честной конкуренции с Huawei Несколько лет назад США ввели санкции против Huawei, что чуть было не погубило эту компанию.

Портативная консоль Lenovo Legion Go, которой прочат конкуренцию со Steam Deck, поступила в продажу Цены начинаются с 699 долларов.

Canva объявила о покупке разработчика программного обеспечения для дизайнеров Affinity для конкуренции с Adobe Adobe не может всем подходить, это нормально.

В Intel не верят, что ARM сможет составить им конкуренцию на рынке ПК Из-за фрагментации платформы, ввиду наличия множества производителей

Кружковое движение НТИ запускает пятый Всероссийский конкурс кружков Кружковое движение Национальной технологической инициативы (НТИ) начало прием заявок на Всероссийский конкурс кружков 2023 года. Участие примут детские и молодежные технологические кружки, студенческие технологические команды и учреждения, выступающие площадками для работы к...

7-местный минивэн Toyota, который не боится плохих дорог. Toyota Rush подорожал в России на 300 тыс. рублей, но не утратил в надежности В России изменилась стоимость кросс-минивэна Toyota Rush индонезийской сборки. Если летом прошлого года такие машины продавали за 2,6 млн рублей, то сейчас цена увеличилась до 2,9 млн рублей. Фото: Toyota За столько автомобиль предлагается в Москве, причем у него левый...

Россияне стали чаще заряжать электромобили на станциях Эн+ Пресс-служба российского энерго-металлургического холдинга сообщила о значительном увеличении числа зарядных сессий на станциях «Эн+» в прошлом году. По сравнению с 2022 годом, число сессий выросло на 13% и достигло более 44,7 тысяч. Также отмечается увеличение общего потреб...

OpenAI замахнулась на конкуренцию с Google. Компания работает над собственной поисковой системы Компания OpenAI хочет попробовать свои силы в новой сфере и потягаться с самой Google. Как сообщается, OpenAI уже работает над собственной поисковой системой.  создано DALL-E Частично, возможно, поисковик OpenAI будет опираться на Microsoft Bing, что неудивительно...

В Самарском университете имени Королева открылся национальный центр аддитивного производства Новая площадка войдет в создаваемую национальную сеть технологических центров аддитивных и сопутствующих технологий. Это второй подобный центр в России, первый открылся в ноябре 2022 года на базе Технологического университета имени Леонова в подмосковном Королеве.

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Япония запретит Apple и Google блокировать сторонние приложения в смартфонах Японское правительство одобрило законопроект, который может привести к штрафам для крупных технологических компаний, таких как Google и Apple, если они будут препятствовать доступу к сторонним приложениям для смартфонов и платежным системам.

MacBook научились определять попадание жидкости в порты USB-C Больше не наливаем.

Вот почему кошки так боятся воды Кошки - это одни из самых независимых домашних животных. Тем не менее многие из них испытывают сильную неприязнь к воде. Как это можно объяснить?

Одна из стран отказалась продавать ВСУ свои истребители, как только разведка РФ узнала об этом Никто не хочет торговать с Украиной, боясь гнева Росcии

Чем фобии отличаются от страхов и как с ними справляться Бояться чего-то — абсолютно естественно. Но иногда это состояние выходит из-под контроля и начинает влиять на нашу жизнь.

ВС РФ освободили Ласточкино, а "элитная" 3-я штурмовая бригада ВСУ ушла, боясь попадания в котел ВСУ после оставления Авдеевки не могут удержать оборону и постоянно отходят

Пентагон заказал создание защиты РСЗО HIMARS от хакерских кибератак со стороны русских и китайцев Наших хакеров боятся везде, взломают всё что нужно.

Выстрелом из ПТРК был выведен из строя уже третий по счету украинский Abrams M1A1 в Бердычах Оказалось, "американец" боится не только fpv-дронов, но и тяжелых ракет ПТРК

ВСУ ослабляют оборону под Работино и перебрасывают в Авдеевку новые силы, но боятся ложного маневра А ресурсы украинской армии тают и тают

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Наконец-то у Intel будет конкурент для GeForce RTX 3050. Видеокарта Arc A580 будет соперничать с этой моделью и заодно с Radeon RX 6600 Видеокарта Intel Arc A580, которая наконец-то должна выйти на рынок спустя более чем год после анонса, будет конкурировать с GeForce RTX 3050 и Radeon RX 6600.  По крайней мере это следует из слайда Intel, который попал в Сеть. Если посмотреть на текущее положение...

Самый популярный китайский кроссовер за год заметно подешевел. Как изменились цены на другие модели, подсчитал 110km.ru В течение последних 11 месяцев цены на популярные кроссоверы в России претерпели изменения, которые в основном касались китайских производителей. Портал 110km.ru подсчитал, как поменялись цены на самые популярные кроссоверы в этом году. Например, в случае бестселлера се...

iPhone 15 Pro позволил Apple заработать 70 млрд за первый квартал 2024 года На протяжении нескольких кварталов компания Apple демонстрировала незначительный спад в прибыли, вызванный падением уровня продаж ноутбуков и планшетов, но теперь компания отчиталась о финансовых успехах за Q1 2024 (первый квартал 2024 года), оценив свою выручку в 119,6 милл...

Векторизация изображений. Как создать алгоритм поиска похожих изображений на Python Многочисленные исследования ученых доказывают, что около 90% информации человек воспринимает через зрение. Изображения являются одним из самых богатых источников информации, которую можно использовать для разнообразных задач, включая классификацию, детекцию объектов, ранжиро...

Путевые заметки с выставки GITEX, или Хайп на AI: о выставке в Дубае В конце октября в Дубае прошла выставка GITEX-2023. Её посетило вдвое больше людей, чем крупнейшую европейскую — Hannover Messe. На GITEX было не только разнообразное технологичное, но и странное: специфический маркетинг, множество «пустышек», а иногда и попросту «обманок»...

TikTok может выпустить собственное приложение для фотографий, похожее на Instagram Новое приложение "TikTok Photos", возможно, составит серьезную конкуренцию Instagram.

Microsoft разрабатывает собственный магазин мобильных приложений для конкуренции с Apple и Google Глава Xbox Фил Спенсер подтвердил, что Microsoft разрабатывает свой магазин приложений.

Регулятор в США подал в суд на Amazon — компанию обвинили в «монопольной власти» и подавлении конкуренции Это уже четвёртый его иск к маркетплейсу в 2023 году.

Microsoft разрабатывает собственный магазин мобильных приложений для конкуренции с Apple и Google Глава Xbox Фил Спенсер подтвердил, что Microsoft разрабатывает свой магазин приложений.

Китайский автопроизводитель NIO вынужден сократить 10% персонала из-за острой конкуренции Tesla оказалась её убийце не по зубам.

AMD рассматривает обновление ускорителей Instinct MI300 с переходом на HBM3E память Это позволит усилить конкуренцию на рынке ИИ.

За прошедший год китайские видеокарты Moore Threads стали мощнее в играх на 200% Тем не менее, они всё ещё не составляют конкуренции моделям NVIDIA и AMD.

Никаких инструкций. Советы от техписа о том, как обойтись без него Привет, меня зовут Евгения Береснева, и я старший технический писатель в X5 Tech. Пожалуй, выглядит странным, что технический писатель пишет статью с таким названием. Так что для начала небольшой дисклеймер:— Нет, мы не боимся остаться без работы. В любой крупной IT-компании...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

[Перевод] Как я обработал один миллиард строк в PHP Вероятно, вы уже слышали о соревновании под названием "The One Billion Row Challenge" (1brc), если же нет, то предлагаю ознакомиться с репозиторием 1brc Гуннара Морлинга.Моё участие в проекте было мотивировано присутствием в нём двух моих коллег, которые достигли лидирующих ...

Слухи о применении чат-бота от Baidu в военных целях спровоцировали падение акций китайской компании Инвесторы боятся санкций в отношении Baidu со стороны США.

Исследование Honor: россияне не боятся появления ИИ в гаджетах Примерно 82% будут только рады активному распространению искусственного интеллекта. Тех, кого это пугает — меньше 1%.

Украина решила придержать Abrams до весны – танк боится холодов и не подходит для климата Донбасса Любопытной информацией поделились авторы Business Insider

«Потолстеть я до сих пор боюсь больше, чем умереть»: истории девушек, которые столкнулись с РПП Худая — значит красивая. Эта установка десятилетиями заставляет женщин ненавидеть своё тело.

Египетское руководство стянуло войска к границе с Сектором Газа Из-за скорой военной операции Израиля, в Каире боятся прорыва палестинских беженецев

Генерал ВСУ Наев предупредил, что Россия готовится расширить масштабы СВО Киев ждет расширения конфликта: что решит исход СВО, чего боятся ВСУ

ВС РФ отразили мощный удар беспилотниками со стороны Украины по территории России Кто-то вроде бы и боится сидеть всю зиму без света, но параллельно делает всё возможное, чтобы этого добиться.

Роберт Кийосаки рассказал, что будет делать в случае падения биткоина Автор бестселлеров Роберт Кийосаки не боится возможного падения цены биткоина. Он рассказал, что собирается делать в случае обвала криптовалюты.

В Сколтехе разработали ИИ, который определяет больные овощи и фрукты В России разработали ИИ, который определяет больные фрукты и овощи по их виду

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)