Социальные сети Рунета
Вторник, 21 мая 2024

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

В Минпромторге пообещали наладить массовый выпуск чипов в РФ по отечественному 14-нм техпроцессу В ведомстве назвали сроки освоения сложных технологических процессов

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Европейские миллиарды долларов для Украины ускоряют Dexit и Frexit Миллиардное финансирование Евросоюзом Украины, идущее в ущерб собственным интересам самих европейцев, толкает политические силы Германии и Франции к призывам о проведении референдумов по выходу из ЕС.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

В Эстонии назвали серьезным ущерб от ракетных ударов по военным объектам Украины В Эстонии рассказали об ущербе от ракетных ударов по военным объектам Украины

В Ledger назвали сумму ущерба пользователей от недавнего взлома криптокошелька В результате взлома 14 декабря библиотеки Ledger Connect Kit ущерб пользователей кошелька составил около $600 000. Была анонсирована ​​не только компенсация в этом размере, но и улучшения в DeFi.

Гарда ускоряет реагирование на инциденты в СУБД на Альт СП Обновления ускоряют реагирование на инциденты, усиливают контроль за действиями привилегированных пользователей и повышают уровень защиты баз данных в отечественных операционных системах.

Учения НАТО Nordic Response нанесли ущерб населению на сумму до $750 тыс Действия западных военных привели к повреждению лыжных трасс, а самой серьёзной статьёй ущерба стало разбежавшееся стадо оленей, испугавшееся вертолёта.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Карманный фонарик стал причиной поломки истребителя F-35 и привёл к ущербу на 3 933 106 долларов США Странный несчастный случай произошёл с F-35. Двигатель стелс-истребителя пятого поколения "проглотил" фонарик во время проверки, ущерб исчисляется миллионами

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Переход с Vue-CLI на Vite: ускоряем разработку Vue 3 Одним из новых инструментов в арсенале Vue 3 является Vite - быстрый и легковесный бандлер, который значительно упрощает процесс разработки и ускоряет сборку проекта. В этой статье мы рассмотрим, как перейти от стандартного подхода с использованием Vue-CLI и Webpack к более ...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Toyota построит дом на колесах для и жизни на Луне Топ-менеджер НАСА Билл Нельсон и министр образования, культуры, спорта, науки и технологий Японии Масахито Морияма подписали знаковый договор. Согласно ему, Японское космическое агентство (JAXA) предоставит американцам полнофункциональное транспортное средство для освоения л...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Toyota строит дом на колесах для путешествий и жизни на Луне Топ-менеджер НАСА Билл Нельсон и министр образования, культуры, спорта, науки и технологий Японии Масахито Морияма подписали знаковый договор. Согласно ему, Японское космическое агентство (JAXA) предоставит американцам полнофункциональное транспортное средство для освоения л...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Марсианские хроники: анализ российских патентов в сфере освоения красной планеты Климат Марса, хотя и не пригоден для высокоразвитых форм жизни, является наиболее близким к земному, а сама планета является ближайшей целью исследования и освоения дальнего космоса ведущими мировыми игроками. И Россией в том числе. Не так давно мы опубликовали на Хабре дета...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

[Перевод] Ускоряем анализ данных в 170 000 раз с помощью Python В статье «Ускоряем анализ данных в 180 000 раз с помощью Rust» показано, как неоптимизированный код на Python, после переписывания и оптимизации на Rust, ускоряется в 180 000 раз. Автор отмечает: «есть множество способов сделать код на Python быстрее, но смысл этого поста не...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Ускоряем работу python с numba Привет, Хабр!Numba — это Just-In-Time компилятор, который превращает ваш код на питоне в машинный код на лету. Это не просто мелкая оптимизация, а серьёзно ускорение.Если вы знакомы с интерпретируемыми языками, вы знаете, что они обычно медленнее компилируемых из-за необходи...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Китайская Луна: КНР активно развивает свою программу освоения спутника Земли. Перспективы проекта Естественный спутник Земли привлекает внимание не только учёных. Целые государства развивают специализированные космические программы, направленные на освоение Луны. Похоже, что Китай действует активнее всех. Сейчас команда учёных и инженеров КНР запустила в космос новый спу...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Новые Lada Largus в Ижевске красят уже в четыре разных цвета При тестовой сборке Lada Largus используются разные цвета, о чем сообщили в корпоративной группе «На заводе Ижевск» в соцсети «ВКонтакте». На прошлой неделе в цехе окраски кузовов началось освоение нового цвета — темно-серого металлика &laq...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Китаю на освоение EUV-литографии потребуется не менее четырёх лет А то и все пять, и это в лучшем случае.

Семантические ловушки асинхронности: Ключи к разгадке и эффективному освоению тем Task, Синхронность, Асинхронность При освоении новых знаний мы, так или иначе, стараемся связать их с уже освоенными знаниями, в связи с чем при изучении и без того непростых абстракций, в терминах которых заложен свой особый смысл, нередко заходим в тупик.Такая ситуация имеет место когда...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Samsung окончательно избавилась от акций ASML, которые покупала в 2012 году Делалось это ради освоения EUV-литографии всеми участниками рынка.

В Японии создали гигантский робокостюм для освоения космоса Очень в духе японцев

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Книга: «Рецепты Python. Коллекция лучших техник программирования» Привет, Хаброжители! В «Рецептах Python» используется простой, но эффективный метод освоения 63-х базовых навыков программирования на Python. Сначала формулируется вопрос, например «Как найти элементы в последовательности?» Затем приводится базовое решение на чистом понятн...

Apple ускоряет переход Mac на новые чипы Apple Silicon M4 Apple ускоряет переход Mac на новые чипы Apple Silicon M4. Согласно планам, первые компьютеры на M4 появятся уже в этом году, включая iMac и MacBook Pro.

В России создали вино, ускоряющее снижение веса Вкус, аромат и крепость — на месте.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Япония ускоряет закупку крылатых ракет Tomahawk от США Япония ускоряет покупку 400 крылатых ракет "Томагавк" у США на год раньше плана.

Иран отправит человека в космос уже в ближайшие пять лет Иран активно движется в сторону освоения космоса.

Патч для Mortal Kombat 1 на Nintendo Switch ускоряет загрузку игры Также здесь представлен режим Invasions

Российские учёные предложили изменить нормы загрязнения природы Учёные РАН предложили изменить правила при освоении Арктики

Апрельское землетрясение принесло TSMC ущерб в размере $92,4 млн В целом, ничего страшного не случилось.

Украина оценила ущерб боевых действий в $1 трлн. Из них $750 миллиардов - прямой убыток.

Сальдо: оценить ущерб от разрушения Каховской ГЭС пока не представляется возможным Ущерб колоссальный.

Xiaomi выпустила ультрадешёвый роутер AX1500 с поддержкой Wi-Fi 6. Его уже можно купить на Али со скидкой Ускоряем домашний интернет.

NVIDIA ускоряет генеративный искусственный интеллект на ПК TensorRT-LLM, стабильная диффузия и RTX VSR улучшают производительность и качество

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Китайская SMIC начала освоение 3-нм норм – на это TSMC и Samsung потребовалось 10 лет Уже сегодня китайская компания способна выпускать чипы на основе 5-нм технологического процесса

Почему сегодня крайне важна грамотность в области искусственного интеллекта Грамотность в области искусственного интеллекта: новый необходимый навык. Его освоение – это вопрос часов, а не лет.

ASML построит для Rapidus сервисный центр в Японии, чтобы помочь с освоением 2-нм технологии И в целом увеличит штат специалистов, обслуживающих местных клиентов.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Израиль раздаёт оружие израильтянами, проживающим недалеко от сектора Газа Страна также ускоряет выдачу лицензий на оружие

Обновление Google Play Store позволяет загружать сразу два приложения Новое обновление Google Play Store позволяет одновременно загружать до двух приложений, ускоряя процесс настройки Android-устройств.

На освоение 2-нм технологии Япония выделит около $300 млн На данную цель будет направлена лишь часть этой суммы, которую растянут на пять лет.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Politico: Введённый год назад «потолок цен» на российскую нефть провлился Ущерб от санкций оказался меньше, чем рассчитывали на Западе.

Польша пообещала добиться репараций от Германии за ущерб от Второй мировой войны Польша пообещала добиться репараций от Германии

Valve начинает устранять ущерб от AMD Anti-Lag в Counter-Strike 2, отменяя баны и внедряя проверку Ряд геймеров попал под «горячую руку» античит-системы.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Российские учёные ускорили восстановление костей В России разработали полимер, который значительно ускоряет восстановление костной ткани

Китай ускоряет замену западных ПК и другой техники Однако иностранные компании продолжают доминировать в китайском ИТ-ландшафте.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Регуляторы ЕС подозревают, что Microsoft может тайно контролировать OpenAI Европейская комиссия считает, что Microsoft, вкачивающая миллиарды в OpenAI, может нанести ущерб конкуренции.

Reuters: Украина ждет зиму с ослабленной энергосистемой Ущерб прошлой зимой был слишком велик.

Galaxy S24 ускоряет реакцию экрана на 10% Samsung готовит к выпуску свой новый флагман Galaxy S24, который обещает улучшенную чувствительность сенсорного экрана на 10%.

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Маск заявил об отсутствии спутниковых доказательств существования НЛО Илон Маск, выступая на одной из конференций по освоению космоса заявил, что никогда не видел никаких доказательств существования инопланетян. Маск уточнил, что у него нет и спутниковых доказательств существования внеземной разумной жизни.

Ущерб украинской энергетике от ракетных ударов ВС РФ превысил $1 млрд Всего-то? Зато вооружений получили на сотни миллиардов.

Землетрясение на Тайване нанесло компании TSMC ущерба на 62 млн долларов Пока что неизвестно, сколько времени потребуется компании на восстановление.

Белый дом: Йеменские племена могут нанести существенный ущерб экономике США Как оказалось, и у Вашингтона есть ахиллесова пята...

Адаптеры CableMod 12VHPWR нанесли владельцам видеокарт NVIDIA ущерб почти на $75 000 С учетом масштабов продаж, сумма не столь значительная

Ученые разработали антибактериальные бинты из нановолокон, ускоряющие заживление ран Основной пропиточный материал - хнотанниновая кислота, которую получают из листьев хны.

Многие страны планируют возродить исследования Луны с целью освоения Международное космическое сообщество активно строит планы на следующую эпоху исследования Луны

Власти США выделили $285 млн на субсидирование создания цифровых двойников в национальном полупроводниковом производстве Это позволит оптимизировать освоение новых технологий при выпуске чипов.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

США может грозить нашествие опасных суперсвиней, каждый год приносящих ущерб на миллиарды долларов Об этом рассказал профессор одного из университетов.

Эксперт: РФ имеет особо важных информаторов, которые в нужный момент наводят удары ВКС РФ Последняя атака принесла особо крупный ущерб

Wildberries вернет клиентам деньги за заказы со сгоревшего склада площадью 70 тыс. кв. м Ущерб оценивается примерно в 10 миллиардов рублей

ABC News: Девять иранских ракет преодолели эшелонированную ПВО Израиля Прошли как нож сквозь масло, но фактически без ущерба для израильских военных

«Курсы» — новый раздел Лайфхакера для тех, кто любит учиться Более 9 000 программ: от подготовки к ЕГЭ до освоения новой профессии с нуля.

Nokia и NASA работают над созданием первой сети 4G на Луне Сеть 4G на Луне внесет большой вклад в будущее освоение космоса.

Nokia и NASA работают над созданием первой сети 4G на Луне Сеть 4G на Луне внесет большой вклад в будущее освоение космоса.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

К 2027 году две трети продаваемых ноутбуков смогут ускорять работу систем искусственного интеллекта И про поддержку ускорения ИИ как таковую никто уже не будет упоминать отдельно.

В США пригрозили взорвать TSMC, если Тайвань решит объединиться с Китаем Если подрыв тайваньской компании будет реализован, то это отбросит человечество как минимум на 10 лет в сфере освоения технологий

В NASA анонсировали запуск посадочного модуля Nova-C на Луну Сотрудничество NASA с частными космическими компаниями продолжает способствовать освоению космоса: в ближайшее время будет произведен запуск посадочного модуля Intuitive Machines Nova-C на ракете SpaceX Falcon 9.

На Дальнем Востоке начинается освоение Огоджинского угольного месторождения Реализация проекта приведёт к трёхкратному росту добычи угля и созданию четырёх тысяч рабочих мест.

Россия готовится к освоению производства 28-нм чипов до 2027 года и 14-нм чипов до 2030 года Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Valve изменила политику возврата средств в Steam Эти изменения направлены на борьбу с практикой, которая позволяла некоторым пользователям получать игры бесплатно, нанося ущерб разработчикам.

Samsung может внедрить «аккумуляторный искусственный интеллект» в серию Galaxy S25 Это позволит увеличить общую ёмкость батареи на 10 процентов без ущерба для производительности смартфона.

Почему мы так любим наказывать нарушителей — даже тех, кто не сделал нам ничего плохого Люди готовы обеспечить возмездие даже в ущерб себе. Потому что это приятно.

Суд арестовал Аяза Шабутдинова до 16 декабря по делу о мошенничестве Следствие оценило общий ущерб от действий бизнес-тренера в 4 млн рублей.

ЕК оштрафовала Apple на 1,8 млрд евро за нанесение ущерба музыкальным стриминговым сервисам Это первый случай, когда ЕК наложила подобный штраф на Apple.

Продажи iPhone в Китае снизились на 24% в начале 2024 года Согласно аналитике, постепенно китайские производители увеличивают свою долю на рынке в ущерб компании Apple

Худший СЕО Intel, Роберт Свон, вошёл в совет директоров находящейся в кризисе Micron Аналитики полагают, что Свон может нанести Micron непоправимый ущерб

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Российские учёные создали космическую заправочную станцию В Росси разработали технологию космической заправки, которая ускорит освоение космоса

Великобритания ускоряет разработку боевого лазера для помощи Украине Британская армия ускоряет разработку лазерной системы DragonFire для борьбы с беспилотниками, планируя её скорую передачу Украине

Названы привычки, которые ускоряют износ наушников Специалисты Роскачества предостерегают от ежегодных расходов на новые наушники, предоставив рекомендации по уходу за ними, чтобы продлить их использование.

VK запустила решение для гибридной обработки данных в режиме реального времени Tarantool Column Store помогает бизнесу работать с финансовыми и производственными данными, консолидировать и ускорять их

Закрытие фоновых приложений в iPhone не повлияло на быстродействие смартфона Обозреватели издания Gizmodo рассказали о расхожем и живучем мифе о влиянии фоновых приложений на быстродействие iPhone. Якобы закрытие фоновых программ ускоряет этот смартфон.

VK Cloud в Казахстане расширила возможности аналитических инструментов платформы Использование Arenadata DB ускоряет аналитику по продажам, планирование закупок, сбор данных для обучения ML-моделей и решения других бизнес-задач.

Освоить новую профессию или хобби: 6 курсов, которые помогут развиваться в дизайне и иллюстрации В подборке есть и небольшие программы для изучения графических редакторов или техник, и долгие — для полноценного освоения творческих диджитал-профессий.

Honkai : Star Rail - взгляд на игру с 69 ранга Освоения Полгода назад в релиз вышла игра от Hoyoverse - Honkai : Star Rail. Что интересного произошло с тех пор и какие подводные камни есть у этой игры.

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Функция блокчейна Ethereum (Create2) дает возможность мошенникам подменять адреса кошельков По данным Scam Sniffer, свыше 99 000 пользователей пострадали от атак, общий ущерб составил $60 миллионов.

Эксперты Bitrace рассказали о действиях киберпреступников Эксперты по безопасности из Bitrace рассказали о том, что поддельные приложения-кошельки, которые появляются в результатах выдачи поисковых систем, наносят значимый ущерб пользователям

Китайским чиновникам грозят новые наказания Взяточничество, наркотики и проституция наносят «серьезный ущерб имиджу партии», заявила правительственная комиссия

Выпущено 2 проектора, которые представляют собой портативные Google TV со встроенным Netflix Mars 3 Air имеет элегантный дизайн, размеры 178 x 122 x 133 мм и вес 1,7 кг, что позволяет легко переносить его без ущерба для производительности. Capsule 3, еще более компактный: 78 x 78 x 160 мм и вес 0,85 кг.

Почему для ВСУ оборона Авдеевки такая сложная и почему для украинского Генштаба нет простых решений И рано, с репутационным ущербом или поздно, но с ущербом в довесок ещё и физическим, уйти придется

США хранили под открытым небом детали для Abrams, Bradley, из-за чего причинен ущерб в $1,8 млрд Вместо "консерватора" использовалась агрессивная природная среда, такая как дождь и снег

Ущерб TSMC от землетрясения на Тайване может составить намного больше $60 000 000 А суммарный ущерб полупроводниковой отрасли может превысить сотни миллионов долларов

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Будущие процессоры Intel Core 200 Arrow Lake для настольных ПК ускоряют масштабирование Их встроенный графический блок Arc Xe-LPG+ (Alchemist+) предназначен для аппаратного ускорения искусственного интеллекта с помощью специальных движков XMX.

PlayStation 5 Pro оснащена новым движком трассировки лучей на базе RDNA 4, ускоряющим RT в 2-3 раза Модернизированная консоль PlayStation 5 Pro от Sony, согласно сообщениям, оборудована рей-трейсинговым движком на архитектуре RDNA 4, что подтверждает удвоение производительности рей-трейсинга за цикл в RDNA 4.

Shift Robotics представила обувь на колесах, ускоряющую шаг Компания Shift Robotics представила на выставке CES 2024 обувь — Moonwalkers с встроенными колесами, увеличивающими скорость ходьбы. Эти ботинки, срабатывая при контакте с поверхностью, придают шагу дополнительное ускорение, что в итоге может увеличить скорость до трех раз.

Российская компания Cognitive Pilot представила нейросеть для обучения автопилотов умного транспорта Новая технология учитывает все возможные ситуации на дороге, включая редкие и необычные, и ускоряет процесс обучения.

Apple ускоряет разработку второго поколения устройства виртуальной реальности Более бюджетный вариант гарнитуры Apple Vision Pro может получить экран вполовину дешевле

Новый драйвер Intel Arc поддерживает Forza Motorsport, AC Mirage и ускоряет ряд DX11 игр до двух раз Отличный выпуск с новыми оптимизациями и исправлением ошибок, но не WHQL.

Apple готовит розничные магазины к запуску Vision Pro в начале 2024 года Согласно новому отчету Bloomberg, Apple ускоряет подготовку к розничному запуску Vision Pro.

Чиновник добывал биткоины 8 месяцев в школе - и отправится в тюрьму на два года Чиновник организовал майнинг-ферму по добыче криптовалют в средней школе в США. Ущерб составил $18 000.

Чиновник добывал биткоины 8 месяцев в школе - и отправится в тюрьму на два года Чиновник организовал майнинг-ферму по добыче криптовалют в средней школе в США. Ущерб составил $18 000.

Ураган "Отис" обрушился на мексиканский Акапулько штормом 4-й категории Ураган "Отис" 4-й категории бушевал в Акапулько, Мексика, причиняя ущерб и вызывая паводки, отключения электроэнергии и отмену полетов, с ветром до 110 миль в час

В NVIDIA оценили ущерб заводам TSMC после самого мощного за 25 лет землетрясения на Тайване Представители NVIDIA заявляют, что проблем нет, тогда как факты повреждения инфраструктуры свидетельствуют об обратном

В PeckShield оценили ущерб криптоиндустрии от взломов и скамов в $2,61 млрд Криптовалютная индустрия по итогам 2023 года потеряла $2,61 млрд в результате хакерских атак и случаев мошенничества

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Российские учёные разработали инструмент, ускоряющий проведение операций Российские учёные разработали инструмент, который позволит ускорить операции на щитовидке более чем на 50%

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

Си Цзиньпин заявил, что санкции США лишь ускоряют развитие технологий в самом Китае Поднебесная старается как можно скорее перейти на отечественное оборудование и программное обеспечение

Китай ускоряет космическую гонку с помощью мегаконстелляции спутников G60 Ожидается, что завод по производству цифровых технологий, расположенный на промышленной базе G60 Starlink, будет иметь производственную мощность 300 спутников в год.

Почта ускоряет выдачу и отправку писем и посылок в три раза благодаря QR-кодам Теперь выдача будет занимать не более 30 секунд.

Япония создаст и отправит на Луну герметичный луноход Япония и NASA подписали соглашение о совместном освоении Луны, в рамках которого Япония обязуется разработать и отправить на естественный спутник нашей планеты герметичный луноход.

Украинский хакер нанёс порядка $700 миллионов ущерба различным крупным компаниям В подавляющем большинстве случаев речь идёт об американских фирмах

Минобороны рассказало о массированном ударе ВС РФ по объектам ТЭК Украины Комбинированная атака стала ответом за попытки нанести ущерб объектам нефтегазовой отрасли и энергетики РФ

Некоторые японские компании остановили производства, чтобы оценить ущерб от землетрясения Эксперты опасаются, что сейсмическая активность у Японии может отразиться на рынке компьютерного железа

Землетрясение на Тайване нанесло ущерб TSMC в 62 миллиона долларов Однако повреждения не сильно сказались на производственной деятельности, и в работе находится до 80 процентов мощностей компании

Польша пересмотрела требования к Германии по репарациям за ущерб времён Второй мировой войны Теперь польские власти не требуют от Германии 1,3 триллиона долларов инвестиций.

В Швеции происходит осознание ущерба от вступления в НАТО В Швеции, как и в других западных странах, начинается постепенное отрезвление общественного мнения и истинной оценки провокационной политики США.

NVIDIA представила новую архитектуру Blackwell с 30% приростом производительности NVIDIA представила новую архитектуру GPU Blackwell, которая показывает 30% прирост в операциях с двойной точностью, а также значительно ускоряет симуляции и AI-вычисления.

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

Samsung ускоряет планы по изготовлению твердотельных ... Компания Samsung ускорила свои планы по запуску массового производства твердотельных аккумуляторов. Сначала это было запланировано на 2027 год, но последние события указывают на более ранние сроки.

В NASA начали думать над созданием левитирующих робопоездов на Луне NASA изучает футуристическую концепцию лунного транспорта: систему левитирующих роботов-поездов. Этот проект, получивший название FLOAT, является частью программы агентства Innovative Advanced Concepts (NIAC), которая направлена на разработку, казалось бы, фантастических иде...

В России создали ускоритель плазмы для межпланетных миссий Специалисты Росатома совершили настоящий прорыв – они создали «сердце» плазменного ракетного двигателя, которое позволит России совершить огромный шаг вперед в освоении космоса.

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Microsoft ускорила в 10 раз скачивание игр на Xbox обыкновенным программным обновлением Геймеры, внимание! Microsoft только что сделала вашу жизнь намного проще и быстрее, благодаря последнему обновлению Xbox, которое ускоряет скачивание игр в 10 раз!

Die Welt: Польша станет лидером в атомной энергетике в Европе В то время как Германия упорно настаивает на отказе от атомной энергетики, Польша ускоряет переход на атомную энергетику.

Изобретена оконная пленка, которая блокирует ультрафиолетовое излучение без ущерба для видимости Инновационная пленка станет отличным решением для тех, кто хочет сохранить прохладу в своих домах и офисах, и не сидеть при этом в темноте.

Зеленский выразил свои волнения по поводу идеи Трампа завершить боевые действия за 24 часа Украинский президент обеспокоен тем, как это сделает возможный американский президент и в ущерб чьих интересов.

Суд Нидерландов вынес решение о запрете поставок запчастей для F-35 Израилю По мнению суда, Иерусалим наносит несоизмеримый ущерб Сектору Газа и нарушает гуманитарное право войны

Генсек НАТО призвал членов альянса отдавать Украине системы ПВО даже в ущерб собственной безопасности А что такое? И свои, и украинские потребности одновременно великий ВПК Запада не в состоянии? Как же так произошло?

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

Невезучий покупатель из Британии вместо iPhone 15 Pro Max за $1500 получил дешёвый клон на Android Пока неясно, согласится ли компания возместить покупателю ущерб

Илон Маск: Roadster разгоняется от 0 до 100 км/ч менее чем за секунду Среди поклонников электромобилей начался переполох. Элон Маск объявил в социальных сетях, что он ускоряет работу над запуском второго поколения Tesla Roadster, характеристики которой будут лучше, чем у болидов Формулы-1.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

60% разработчиков уже используют искусственный интеллект или нейросети Тенденция показывает рост числа пользователей генеративного ИИ среди разработчиков. Примерно 43% студий, которые ещё не применяют нейросети, выразили интерес к изучению этой сферы, хотя и отметили отсутствие времени для освоения новых технологий.

NASA разработает ядерный двигатель для быстрых полётов к звёздным системам NASA решилось на реализацию долгожданного проекта по освоению космоса. Для этого агентство в рамках программы NASA Innovative Advanced Concepts (NIAC) построит бимодальную ядерную двигательную установку.

Президент Аргентины сравнил коммунизм с психическим заболеванием А капитализм в ущерб интересам Аргентины и беготня по сцене с бензопилой — это не психическое заболевание, интересно?

Новый премьер Грузии исключил присоединение страны к санкциям против России В Грузии обладают главным: пониманием того, что от таких санкций больше ущерба тем, кто их вводит.

В результате кибератаки хакеры нанесли Clorox ущерб на сумму 49 миллионов долларов Компания, в которой работает 8700 человек и обслуживает 100 рынков в 25 странах, также ожидает, что последствия этой атаки будут иметь долгосрочные последствия в 2024 году.

Вашингтон обвинил Китай в нанесении ущерба судостроительной и сталелитейной промышленности США Сегодня Китай является крупнейшим в мире экспортером стали и алюминия, а также страной-лидером в области судостроения

The War Zone: Противоспутниковое ядерное оружие России приведет к катастрофе на орбите Не только прямой взрыв нанесет ущерб размещенным на низкой околоземной орбите аппаратам, но и излучение окажется губительным для спутников.

Искусственный интеллект в баре Kirin создает новые напитки Японская компания Kirin использует искусственный интеллект для разработки новых напитков, ускоряя процесс создания ассортимента готовых к употреблению продуктов.

Учёные создали генномодифицированные бактерии, способные разлагать очень прочный пластик Исследователям удалось создать особый штамм бактерий, ускоряющих процесс разложения пластика.

Китай ускоряет развитие новых технологий в стремлении к самообеспечению Среди конкретных направлений - квантовая информация, искусственный интеллект, аналитика больших данных и другие передовые технологии

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Учёные протестировали создание лазером дорог на Луне. Но пока лишь в лаборатории В рамках подготовки к будущему освоению Луны, инженеры исследовали возможность создания дорожных покрытий на её поверхности с помощью лазера.

На Чукотке построят автодорогу к Баимскому ГОКу Vostok.Today: проект освоения Баимской рудной зоны в Чукотской автономии обрастает инфраструктурой. Правительство России выпустило распоряжение об условиях строительства всесезонной дороги к месторождению.

Два бывших сотрудника Samsung нанесли компании ущерб в $1.8 млрд путём передачи технологий Китаю В качестве получателя технологии значится китайская ChangXin Memory Technologies

Глава Евродипломатии заявил, что в случае победы России Евросоюзу может быть нанесён непоправимый ущерб А при чём тут Евросоюз и разборки России с Украиной, а, господин Боррель?

Раскрыт секрет пробиотиков в борьбе с запорами Исследователи раскрыли секрет успеха пробиотиков в борьбе с запорами: он кроется в генах. Ученые выявили ключевые гены пробиотика Bifidobacteria longum, которые ускоряют моторику кишечника и позволяют решить глобальную проблему запоров.

Борясь с «санкциями» YouTube, новое расширение вместо удаления ускоряет рекламу Разочарованы тем, что YouTube подавляет блокировщики рекламы? Умелый разработчик предложил принципиально новое решение - расширение Ad Speedup. В отличие от традиционных блокировщиков, этот инструмент не блокирует рекламу, а ускоряет ее с умопомрачительной 16-кратной скорост...

Хотите бороздить просторы космоса? Будьте готовы к проблемам с потенцией Исследователи из Университета Северной Каролины и Университета штата Флорида обнародовали шокирующую информацию: освоение космоса вредит мужскому здоровью астронавтов и приводит к эректильной дисфункции.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Названы главные преимущества клетчатки для здоровья Существует два типа клетчатки: растворимая и нерастворимая. Растворимая клетчатка замедляет пищеварение, а нерастворимая ускоряет и при этом обеспечивает бесперебойную работу кишечника. Обе разновидности клетчатки полезны для здоровья.

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

Китай ускоряет переход на компьютеры отечественных производителей Правительство города Хэби закупило 10 000 компьютеров с процессорами Loongson для местных школ, что является частью политики импортозамещения в ИТ-сфере.

Илон Маск получил Всемирную космическую премию IAF 2023 Глава SpaceX Илон Маск был объявлен лауреатом почетной Всемирной космической премии IAF 2023 на 74-м Международном конгрессе астронавтики, который состоялся Баку. Эта награда считается одной из самых престижных в мире в области исследования космоса, она признает отдельн...

Apple iPhone теряет китайский рынок под натиском Huawei Рост Huawei продолжает наносить ущерб доле Apple на рынке Китая, утверждают аналитики; называются и другие причины снижения поставок iPhone.

Финляндии нанесен экономический ущерб фанерой из России По данным расследования Еврокомиссии, российская берёзовая фанера незаконно ввозилась в Европу через Казахстан и Турцию.

TSMC преследует цель — к 2030 году упаковать в 3D-процессор 1 трлн транзисторов Поскольку ущерб от землетрясения минимален, председатель совета директоров Марк Лю и главный научный сотрудник Филип Вонг прогнозируют 10 лет роста.

Профессор космического права: на Луне не может быть ничьей собственности На фоне космической гонки и всё больших инвестиций в освоение других планет появляются вопросы о возможности приобретения в космосе собственности. Например, можно ли иметь свой «участок земли» на Луне.

NASA начала поиск добровольцев для годичной изоляции NASA ищет следующий экипаж, который отправится в годичную симуляцию полета на Марс, предоставляя возможность внести свой вклад в освоение космоса и проверить пределы человеческой приспособляемости.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Эксперт рассказал, как можно провести Интернет на Марсе Спустя пару десятилетий после освоения Марса астронавтам, которые там будут находиться, придётся найти какой-то способ коммуникации друг с другом, с родной планетой и т.п. Но вот по Wi-Fi соединиться с Землёй не получится из-за того, что она слишком далеко. Понадобится друго...

"Стрелы Бога" и эксперименты с энергией - чем на самом деле занимается Х-37В - военный челнок США Х37В является одним из самых известных, но в то же время, как ни парадоксально, засекреченных космических аппаратов США. Вернее, засекречен не сам аппарат, а то, чем он занимается. "Зелёная" энергетика, освоение Луны и "Стрелы Бога", попробуем разобраться.

Китайская академия наук представила первый полный геологический атлас Луны Китайская академия наук опубликовала первый в истории полный геологический атлас Луны в высоком разрешении, который содержит детальную информацию о лунной поверхности и станет важнейшим инструментом для будущих исследований и освоения естественного спутника Земли.

Российская армия вновь нанесла ущерб аэродрому Староконстантинов За ночь Вооруженные Силы нанесли массированный ракетно-дроновы удар по территории Украины

Трамп подал в суд на Truth Social partners за бесхозяйственность. Дональд Трамп подал иск на соучредителей Trump Media, обвинив их в неэффективном управлении компанией в сфере социальных сетей. Трамп требует отозвать их доли в компании из-за пагубных последствий и ущерба для компании.

В США засудят Amazon за навязывание дорогих товаров В федеральный суд Сиэтла поступил коллективный иск от покупателей, требующих возмещения ущерба у техногиганта Amazon. Истцы требуют наказать маркетплейс за навязывание более дорогих товаров.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Космическое агентство Китая представило ракету последнего поколения Changzheng-8A Китай представил новую ракету Changzheng-8A с увеличенной грузоподъемностью и передовыми технологиями для поддержки своей растущей программы освоения космоса.

Россиянам назвали безопасный для зубов чай Эксперт стоматолог-ортодонт Ольга Лебедева поделилась советом в честь Международного дня чая, указав на сорт, который можно пить, минимизируя риск ущерба для зубов, пишет «Газета.Ru».

Министр обороны Германии: отказ от дальнейшей помощи Украине может нанести ущерб экономике США Борис Писториус заявил, что если Штаты не продолжат финансировать Украину, то пострадает их собственный военно-промышленный комплекс

Новая версия Termidesk VDI 4.3 ускоряет развертывание виртуальных рабочих мест Разработчики реализовали множество новых возможностей и улучшений в области подключения рабочих мест, управления и администрирования, графического интерфейса, поддержки платформ виртуализации и т.д.

Softbank ускоряет разработку ИИ-процессоров через свою дочернюю компанию Arm Arm начинает разработку нового поколения ИИ-процессоров для центров данных Softbank, осуществляя планы Масаеси Сона на превращение компании в инновационный ИИ-конгломерат со вложениями в 64 миллиарда долларов.

Typescript Generics Javascript - крутой язык со своими преимуществами и недостатками. И одно из его свойств - это динамическая типизация, которая одновременно может быть как преимуществом, так и недостатком. Очень много холиварных тредов на этот счет, но по мне так все просто. Для небольших и п...

Вместо благодарности союзники Украины получили экономический ущерб и судебный иск в ВТО Администрация Зеленского делает огромное количество дипломатических ошибок, которые могут стоить Украине очень дорого

CPSC требует отозвать угловые адаптеры CableMod для GPU и оценивает ущерб в $74,5 тыс. Рекомендуется прекратить использование адаптеров и обратиться к CableMod для получения инструкций по безопасному извлечению и полному возврату средств

Samsung разрабатывает функцию Battery AI, которая будет экономить заряд аккумулятора на 5–10% Увеличение времени работы от одного заряда без ущерба для производительности смартфона? Таково преимущество технологии Battery AI, которая, по слухам, появится в будущих смартфонах Samsung.

Этот продукт способен сжигать жир и ускорять метаболизм Контроль веса - важный фактор нашего здоровья. Известно, что ожирение сильно повышает вероятность развития болезней сердца, диабета 2 типа, а также шансы на инсульт. Врач рассказал об одном продукте, который поможет быстрее сбросить вес.

Оказывается, что будущее освоения галактики зависит и от кошек... НАСА во время эксперимента по оптической связи в глубоком космосе передало 15-секундное видео из глубокого космоса на Землю, используя ультрасовременный прибор, называемый бортовым лазерным приемопередатчиком.

В РАН подготовили программу по освоению Луны до 2050 года Изображение: нейросеть По словам президента РАН, Геннадия Красникова, которые он привел на заседании Совета Федерации, несмотря на неудачу с «Луной-25», в дальнейшем лунная программа в России будет продолжена и уже сформирован перечень мероприятий до 2035 года и основные эт...

НАСА ищет добровольцев, которые будут жить в течение года как на Марсе В пятницу НАСА сообщило, что администраторы ищут кандидатов на участие в следующем исследовательском задании НАСА, в котором будут смоделированы "проблемы миссии на Марсе", чтобы определить будущие планы по освоению Красной планеты человеком.

Honda инвестирует 61 миллиард евро в развитие электромобилей Honda ускоряет реализацию стратегии развития электромобилей с амбициозными инвестициями в размере 61 миллиарда евро.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Apple работает над дизайном чипов на базе 2-нанометрового техпроцесса TSMC Apple имеет тесные отношения с TSMC и получает преимущественный доступ к их производственным услугам

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Липкая ПЭТ-лента внутри батарей ускоряет их деградацию, говорится в новом исследовании Использование другой ленты может увеличить срок службы батареи на 10%.

Amazon ускоряет AI с новыми чипами и партнерством с NVIDIA Amazon расширяет свои возможности в области искусственного интеллекта, представив новые чипы для AI и укрепив партнерство с NVIDIA.

Оптимизируем шрифты и ускоряем сайт на 5-12% Описываем способы максимально ускорить загрузку шрифтов на сайте.Развенчиваем мифы и разбираем ошибки. В статье вас ждут полезные онлайн сервисы для ускорения работы. Читать далее

Павел Мясоедов: Ущерб от сбоя в интернете может быть значительным для бизнеса В это время покупатели не могут заказать товары на маркетплейсах, банки не получают данные от своих клиентов. Проблема в том, что никто не знает, сколько именно может продлиться сбой в сети.

Американский закон о чипах наносит гораздо больший ущерб партнерам США, чем Китаю Закон о чипах и закон о снижении инфляции нацелены на сдерживание Китая, но на самом деле наносят огромный ущерб экономикам Японии, Южной Кореи, Австралии и Тайваня.

Многометровая волна в Калифорнии смыла 20 прохожих, 8 человек получили ранения Непогода на Западном побережье США вызвала появление гигантских волн вдоль побережья, наносящих ущерб прибрежным городкам и смывая людей.

Google рассматривает возможность взимания платы за функцию поиска на базе ИИ Поиск с помощью искусственного интеллекта обходится «невероятно дорого» и Google пытается найти компромисc, не нанося ущерба своему рекламному бизнесу.

Генсек НАТО отметил роль альянса в нанесении Украиной ущерба российскому Черноморскому флоту Генсек НАТО забыл, что статус данного конфликта не позволяет Украине наносить удары по России? Или напомнить надо?

Диетологи рассказали, какие продукты ускоряют старение Скорость старения организма человека зависит от его образа жизни. В том числе и от того, что он ест. Специалисты в области питания объяснили, из-за каких продуктов мы стареем быстрее.

В США разработали кремниево-фотонный чип для ускорения вычислений ИИ до скорости света Исследователь Университета Пенсильвании объявили о разработке ими фотонного чипа, способного ускорять вычисления ИИ до скорости света.

Раку печени нашли непобедимого соперника: новейший гидрогель Ученые из Института Терасаки создали революционный гидрогель с лекарственной эмульсией, который «одним ударом» уничтожает рак печени. Этот препарат может похвастаться рН-зависимой доставкой лекарств, сокращая рост опухоли и ускоряя противоопухолевые иммунные реакции.

Тренер объяснил, какой вес нужно поднимать, чтобы избавиться от жира на животе Силовые тренировки, безусловно, имеют решающее значение для похудения. Благодаря этим упражнениям вы наращиваете мышечную массу, повышаете выносливость, ускоряете обмен веществ и улучшаете способность организма сжигать жир. Но сколько конкретно килограммов нужно поднимать, ч...

Ускоряем программу для 50-летнего процессора на 180000% В прошлом году я написал программу, вычисляющую 255 цифр числа π на самом первом микропроцессоре от Intel - 4004. В той статье я упоминал рекорд ENIAC'a - 2035 цифр [^1], но побить его не смог. Настало время закрыть гештальт. В этот раз возьмём одного из преемников от Intel ...

Новый скафандр SpaceX создан с применением технологий 3D-печати Компания SpaceX сконструировала новые скафандры, на этот раз для выхода в открытый космос. Без аддитивных технологий не обошлось: компания утверждает, что шлемы изготавливаются на 3D-принтерах, при этом производство скафандров со временем планируется поставить на поток для о...

Украинские пилоты начинают своё обучение в США с освоения английского языка Первая группа украинских летчиков начала языковую подготовку в США перед обучением на истребителях F-16, как сообщила заместитель пресс-секретаря Пентагона

Наборы минус-фраз теперь можно применять к рекламным кампаниям Директа Применение набора минус-фраз к кампании значительно упрощает и ускоряет управление релевантностью рекламы

Apple работает над новым поколением процессоров M4 с функциями ИИ Компания Apple ускоряет разработку своих новых процессоров следующего поколения M4, которые будут обладать продвинутыми возможностями искусственного интеллекта.

Учёные выяснили, как ожирение ускоряет старение мозга Результаты нового исследования показали, что диета с большим количеством жиров и ожирение могут ускорить старение мозга. В частности, старение сосудов, которые снабжают мозг кровью.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Генеральный директор Intel считает, что узел 18A опережает 2-нм узел TSMC Сообщается, что технологический узел Intel 18A превосходит техпроцесс TSMC 2-нм по производительности благодаря улучшенной задней подаче питания и использованию кремния

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Ученые ускорили работу нейросетей для генерации изображений Ученые из Массачусетского технологического института в США разработали метод, который значительно ускоряет работу нейронных сетей, создающих изображения по текстовым запросам, пишет «Газета.Ru» ссылаясь на статью на портале научных публикаций arXiv.

Из-за взломов только в ноябре держатели криптовалют потеряли $343 млн Ноябрь стал самым проблемным месяцем 2023 года для мировой криптоиндустрии из-за взломов. Ущерб от хакерских атак кратно превысил потери от мошенничества.

Японский антимонопольный регулятор обвинил Google в нанесении ущерба поисковику Yahoo Japan После ряда скандалов в США теперь Google столкнулась с жёсткими обвинениями со стороны японской Федеральной торговой комиссии в ограничении конкуренции на местном рынке.

Во Львове неизвестный организовал пожар на фабрике, выпускавшей до 5000 дронов в месяц К настоящему моменту здание сильно разрушено, а предварительная оценка нанесённого ущерба только начинается. До ЧП здесь выпускали БПЛА "Лелека 100", RAM II UAV и "Фурия"

После атаки экоактивистов завода Tesla, ущерб составил почти миллиард евро Завод Tesla в Германии уже давно критикуют так называемые экоактивисты. Недавно снабжающую электричеством гигафабрику высоковольтную опору пытались поджечь.

Привычные для нас бананы могут исчезнуть из магазинов: виноват агрессивный грибок Эксперты предупреждают, что наиболее широко потребляемый сорт бананов может оказаться на грани исчезновения, поскольку вспышка болезни наносит ущерб этому богатому калием фрукту.

Кулеба призвал Европу передать Украине все свои артиллерийские снаряды даже в ущерб договорённостям с другими странами В этом всё современное украинское политическое руководство. Инфант на инфанте инфантом погоняет.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Доказано: чёрная смородина спасёт от пивного живота Научно доказано, что ежедневное употребление экстракта чёрной смородины помогает взрослым сбросить до 19 кг за год. Связано это с тем, что эта ягода ускоряет сжигание жира.

Открыт новый набор на практические курсы по информационной безопасности ИНСЕКА В процессе освоения программ ты примеришь на себя роли специалистов из различных отделов кибербезопасности. Вместе с наставником курса вы решите задачи, с которыми сталкиваются в реальной жизни ИБ-команды большинства компаний.

Ракету ULA подготовили к полету на Луну: декабрь 2023 года может попасть в историю Компания United Launch Alliance (ULA) готовится к первому запуску ракеты Vulcan Centaur в конце декабря. Тори Бруно, исполнительный директор ULA, объявил, что все ключевые компоненты, включая разгонный блок Centaur, уже находятся на стартовой площадке, что знаменует собой ва...

Словения стала частью планов NASA по Луне и другим ресурсам космоса Словения «преисполнилась» в своих космических амбициях, подписав соглашение с NASA. Этот шаг, последовавший за Швейцарией и Швецией, делает Словению третьей европейской страной за неделю, присоединившейся к международному соглашению о «мирном освоении космоса».

Трансплантация органов пожилых ускорила старение пациентов Новое исследование, проведенное в Женской больнице Бригама, открывает леденящую душу реальность: пересадка органов от пожилых доноров молодым реципиентам может ускорять старение организма. В доклинических моделях на мышах исследователи обнаружили, что пересадка старых органо...

Бывший сотрудник Pump.fun украл $1,9 млн с платформы для запуска мем-токенов Платформа для запуска мем-токенов Pump.fun на Solana обвинила экс-сотрудника в эксплойте с ущербом в $1,9 млн.

Президент Путин: Украина пытается нанести ущерб газопроводу «Турецкий поток» Путин обвинил Украину в попытке нанести ущерб «Турецкому потоку»

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Google ускоряет YouTube для Chrome за счет остальных браузеров Недавно обнаружено, что YouTube работает медленнее в браузерах Firefox и Edge по сравнению с Chrome. Это не первый случай, когда Google подозревается в намеренном влиянии на производительность своих сервисов в пользу собственного браузера, что вызывает обеспокоенность в инте...

Создание сайтов через нейросети Популярность нейросетей в 2024 году набирает обороты. Нет, они не заменяют полноценных специалистов. Зато существенно упрощают и ускоряют работу тех же копирайтеров, сеошников и дизайнеров. Сегодня мы расскажем, какие нейросети можно использовать в работе, разберем их функци...

Начинающие и опытные разработчики смогут узнать о новых возможностях и технологиях открытых платформ на митапе VK Mini Apps 2024 Участники смогут познакомиться с широкими возможностями VK Mini Apps, узнать о новостях платформы, актуальных решениях, которые помогают ускорять запуск мини-приложений и монетизировать их.

Китай создаст возвращаемые ракеты-носители к 2026 году Китайская корпорация аэрокосмической науки и техники (CASC) ускоряет разработку многоразовых ракет-носителей диаметром 4 и 5 метров. Главный конструктор CASC, Жун И, объявила, что первые запуски новых моделей запланированы на 2025 и 2026 годы.

Японский стартап создал 3-тонного робота похожего на Гандама Которым может управлять каждый, если у него есть 3 миллиона долларов на его покупку. Робот ARCHAX был разработан так, чтобы сохранять уникальный внешний вид без ущерба для функциональности.

Столтенберг: Страны НАТО должны отдавать оружие Украине даже в ущерб собственной обороноспособности Генсек альянса четко дал понять, что странам альянса не стоит жадничать. Только оружие потом придется купить для восполнения запасов.

Samsung ускоряет разработку упаковки для чипов на стеклянной подложке Samsung Group создала новый межведомственный альянс - по данным южнокорейского издания Sedaily, совместная работа будет сосредоточена на исследованиях и разработке "подложки мечты"

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Возврат в офис не повысил прибыль компаний, а лишь навредил сотрудникам Дебаты по поводу политики возвращения в офис (RTO) продолжают разгораться, и новое исследование показывает, что эти требования могут не принести финансовой выгоды компаниям, а также нанести потенциальный ущерб удовлетворенности сотрудников.

Россия и Китай совместно построят ядерную энергоустановку на Луне Глава «Роскосмоса» рассказал, каким он видит вклад России в совместную с Китаем программу освоения Луны

Boeing Starliner готовится к первому пилотируемому полету на МКС После многолетних задержек и неудачных попыток, космический корабль Boeing Starliner готов отправить своих первых астронавтов на Международную космическую станцию. Этот важный шаг откроет новую эру для компании в освоении космического пространства.

На Сахалине создадут кафедру освоения морских нефтегазовых месторождений Начальник управления по работе с персоналом компании Андрей Простотин во время 27-го международного энергетического форума "Нефть и газ Сахалина" рассказал, что Сахалинский государственный университет (СахГУ) и ООО "Газпром добыча шельф Южно-Сахалинск" создадут кафедру освое...

[Перевод] Роберт Зубрин — Почему мы должны заселить Марс Освоение космоса принесет нам изобретения, которые принесут пользу человечеству. И это поможет нам избежать войны.Обзор книги «Город на Марсе: можем ли мы заселить космос, стоит ли заселять космос и действительно ли мы это продумали?» (A City on Mars: Can We Settle Space, Sh...

Главный конкурент Tesla — BYD — обратил внимание на Таиланд и Индонезию BYD, китайский гигант по производству электромобилей, ускоряет свое расширение в Юго-Восточной Азии: пробное производство стартует в Таиланде, а в Индонезии начнется строительство нового завода.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Угловой адаптер CableMod 12VHPWR для графических процессоров Nvidia причинил ущерб на $75 000 Владельцам углового адаптера CableMod для графических процессоров Nvidia сказано их уничтожать.

Технология APO ускоряющая игры не появится в процессорах Intel 13-го и 12-го поколения Популярный канал YouTube Hardware Unboxed (HUB) протестировал APO и обнаружил реальный прирост производительности в двух поддерживаемых играх. Однако HUB был разочарован отсутствием поддержки программного обеспечения, а также необходимостью использовать процессор 14-го покол...

Цифровые двойники станков ускорят производство на 38% Ученые из Мичиганского университета создали систему, которая помогает выбрать оптимальную скорость производственных станков, гарантируя при этом качество продукции и ускоряю производство. Исследователи использовали «цифрового двойника» — виртуальную модель реального станка.

Диетолог рассказала, сколько белка в день нужно получать разным людям Невозможно отрицать, что белок обладает множеством преимуществ для здоровья. Он подавляет чувство голода, ускоряет метаболизм, помогает нарастить мышечную массу. Зарегистрированный диетолог Бонни Тауб-Дикс объяснила, сколько белка в сутки нужно человеку.

Apple оштрафовали на $25 млн за дискриминацию при приеме на работу Apple согласилась выплатить 25 млн долларов в качестве компенсации за обвинения в дискриминации при приеме на работу. Министерство юстиции США сообщило, что 18,25 млн будут направлены в фонд возмещения ущерба пострадавшим, а еще 6,75 млн составят гражданские штрафы.

Code 27. Пентестим сети с наименьшим ущербом Для подписчиковMITM — это самая импактная атака, которую можно провести в сети. Но одновременно это и самая опасная техника с точки зрения рисков для инфраструктуры. В этой статье я расскажу о том, как спуфить при пентесте, чтобы ничего не сломать по дороге и не устроить DoS...

В январе убытки от мошенничества и взломов в криптоиндустрии превысили $126 миллионов Уровень ущерба от мошенничества и взломов в шесть раз превысил показатель за январь 2023 года и практически втрое превзошел соответствующее значение за декабрь.

Новые магниты для электромобилей и ветряных турбин ускоряют энергетический переход Ученые работают над альтернативными магнитами из железа нитрида, чтобы компенсировать возможный дефицит редких металлов, используемых в электромобилях и ветряных турбинах

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Насколько реально колонизировать Марс? Давайте сегодня поговорим о Красной планете — Марсе. Планета эта давно уже привлекает внимание учёных со всего мира. Каких успехов достигли Россия и СССР в исследовании Марса? И главный вопрос — реально ли освоение Марса в будущем и с какими трудностями столкнётся челов...

Последние оптимизации Android позволили сэкономить 95 Петабайт данных Google обновила среду выполнения приложений Android Runtime (ART), которая отвечает за перевод байткода в нативные инструкции для процессоров. Новая версия более сильно оптимизирована и использует на 9% меньше кода без ущерба для производительности. Читать полную статью

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Реализации Microkernel архитектуры с помощью Java OSGI Я хотел бы поделиться опытом реализации микроядерной архитектуры (microkernel) на Java с помощью OSGI (Open Service Gateway Initiative). Этот подход является промежуточным вариантом между микро-сервисной и монолитной архитектурой. С одной стороны присутствует разделение межд...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Чуть меньше половины штатов США обвинили Amazon в нарушении закона Регулятор США совместно с 17 штатами подал знаковый судебный иск против компании Amazon, в котором утверждается, что гигант электронной коммерции применяет монополистические методы, наносящие ущерб честной конкуренции.

Tele2 предлагает застраховать домашних животных с новой услугой «С заботой о питомце» Клиенты оператора смогут компенсировать расходы на лечение питомца в случае болезни, травмы, отравления, укуса клеща, а также возместить ущерб при нанесении животным вреда третьему лицу.

Гана призывает Африку объединиться, чтобы получить компенсацию за рабство 14 ноября в столице Ганы Аккре с участием африканских лидеров началась Международная конференция по репарациям, посвященная юридическим аспектам возмещения ущерба от трансатлантической работорговли.

Технологии мобильной связи развиваются. В Китае запустили 5.5G — что это за стандарт? Во всём мире активно развёртывают инфраструктуру мобильной связи 5G. В то же время различные компании ведут разработку 6G-технологий, реализуются и промежуточные проекты. Речь идёт прежде всего о сетях 5.5G, или 5G-Advanced. В Китае уже начали практическое освоение этого тип...

Литий-серные аккумуляторы могут заменить традиционные: их развивают китайцы Исследователи из Китая достигли успехов в разработке литий-серных аккумуляторов, увеличив срок их эксплуатации без ущерба для других характеристик, пишет Nikkei.

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Стартап захотел стать первой лунной шахтерной компанией В гонке за освоение лунных ресурсов появился новый игрок. Стартап Interlune, соучредителями которой являются ветераны космической отрасли и астронавт «Аполлона», стремится стать первой компанией, занимающейся добычей полезных ископаемых на Луне. Их цель — гелий-3, редкий изо...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Reuters: В здании Старой фондовой биржи Копенгагена произошел пожар Разрушительный пожар в Копенгагене уничтожил историческое здание биржи Børsen, строительные леса осложнили работу пожарных. Ценные произведения искусства спасены, но ущерб значителен.

Amazon и BMW используют ИИ для борьбы с контрафактной продукцией Торговля поддельными товарами наносит большой экономический ущерб компаниям, а контрафактная продукция зачастую опасна. Amazon и BMW предприняли совместные действия против мошенников — они полагаются на искусственный интеллект.

Туск обвинил Украину в попытке воспользоваться добротой Польши в ущерб интересам польских фермеров Украина забывает об интересах польских фермеров на своей родине, чем может вызвать рост антиукраинских настроений в Польше.

Биохимики изобрели наногель для борьбы с алкогольными отравлениями Биохимики из Китая и Европы разработали уникальный наногель, способный эффективно снижать уровень алкоголя в организме. Ключевыми компонентами этого средства являются молекулы молочного белка бета-лактоглобулин и наночастицы золота и железа, которые ускоряют окисление этанол...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Spotify обвинил Apple в блокировке обновлений приложения в Евросоюзе Европейская комиссия наложила штраф в размере 1,8 миллиарда евро на Apple, считая, что она наносит ущерб конкурентам Apple Music на iOS. Тем не менее, кажется, что у компании все еще остались нерешенные проблемы с Spotify, ее основным конкурентом, который теперь обвиняет ком...

Китайская SMIC неожиданно для всех занялась освоением 3-нм техпроцесса. Откуда такие возможности? О том, что Китай, несмотря на все сложности, не только держится на плаву в плане разработки и производства электроники, но и делает определенные успехи, мы уже писали. У некоторых китайских компаний достижения даже значительнее, чем можно было бы ожидать. Например, SMIC акт...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Саратовские предприятия заместят 46 видов импортной продукции Министр промышленности и энергетики Саратовской области Михаил Торгашин сообщил, что промышленные предприятия региона нацелились на освоение выпуска более 46 видов новой продукции в 2024 году, чтобы заменить импортные товары. В частности, планируется производство минеральных...

ЕС требует от Китая сократить экспорт электромобилей и стали В Париже прошла трехсторонняя встреча руководителей Франции, Европейской комиссии и Китая. По итогам переговоров ЕС потребовал от Китая добровольно сократить экспорт своей продукции, в частности электромобилей и стали, так как это наносит ущерб европейской промышленности. В ...

Northrop Grumman разрабатывает самонаводящийся снаряд для ВМС США В прошлом главным предназначением крупнокалиберной корабельной артиллерии было нанесение ущерба противнику за счет массированного обстрела. Считалось, что разрыв даже одного снаряда, начиненного несколькими десятками килограммов взрывчатки, в непосредственной близости от цел...

Wildberries ускоряет возврат денег за товар до 1-2 дней В Wildberries сообщили, что компания внедряет изменения в процессе возврата товаров, сокращая сроки возврата средств клиентам до 1-2 дней. С 19 ноября клиентам рекомендуется возвращать товары только в пункты выдачи, где они были получены, для ускорения получения денег на кар...

Ускоряем Dictionary в C# при помощи структур и CollectionsMarshal Если вы C# разработчик, то наверняка вам знаком класс Dictionary. В качестве значений вы, скорее всего, использовали классы. Но что если я скажу, что в Dictionary можно использовать структуры? Не стоит бояться того, что структуры копируются при передаче в метод или возврате ...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Инженер получил два года тюрьмы за удаление репозиториев своего работодателя Облачный инженер Миклош Даниэль Броуди (Miklos Daniel Brody) был приговорен к двум годам тюремного заключения и возмещению ущерба в размере 529 000 долларов. Дело в том, что Броуди стер все репозитории с кодом у своего бывшего работодателя, желая отомстить за увольнение из ...

eBay в ответ на критику семейной пары послал людям посылку с кровью Министерство юстиции США закончило расследование в отношении некоторых менеджеров и сотрудников логистики интернет-магазина eBay. Компания заплатит $3 млн семейной паре за моральный ущерб из-за издевательских посылок.

Ученые Оксфорда выявили экологический ущерб от экспорта старых авто из Великобритании Исследование Оксфордского университета выявило, что экспорт подержанных автомобилей из Великобритании в развивающиеся страны способствует увеличению углеродных выбросов и снижению топливной эффективности на глобальном уровне.

Учителя английского забеспокоились: ChatGPT берет верх над изучением языков Студенты отказываются от сочинений в пользу контента, созданного искусственным интеллектом, а преподаватели этим обеспокоены, пишет HackerNoon. Помощь в освоении языка от ChatGPT революционизирует коррекцию грамматики, письмо и изучение словарного запаса. Грозит ли учителям ...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Российские ученые создали технологию переработки бетонных отходов В пресс-службе Новосибирского государственного университета сообщили, что ученые вуза совместно с коллегами из Новосибирского государственного архитектурно-строительного университета и Тувинского института комплексного освоения природных ресурсов СО РАН разработали метод вто...

Китай добавляет искусственный интеллект в свой парк ударных беспилотников ВВС Китая ускоряют амбициозные усилия по внедрению новых поколений искусственного интеллекта в свои беспилотные летательные аппараты.

В аэропортах США ИИ сканирует лица, но от этого можно будет отказаться Власти США предпринимают шаги по регулированию использования искусственного интеллекта (ИИ). По сообщениям CNN, путешественники вскоре получат право отказаться от сканирования лица на досмотре в аэропорту без ущерба для своих планов на поездку, и эта мера будет реализована к...

Yangtze Memory Company выпустила память QLC с ресурсом как у TLC Молодая китайская компания Yangtze Memory Company совершила прорыв в производстве твердотельных накопителей. Представлены чипы памяти X3-6070 3D QLC NAND с возможностью перезаписи до 4000 раз, что сравнимо с более дорогими моделями на основе TLC. Это достижение позволит сниз...

ГК «Солар»: Средний ущерб от одной утечки информации составил 5,5 млн рублей В 2023 году ключевой киберугрозой для российского бизнеса стали масштабные утечки конфиденциальной информации, которые происходят ежемесячно. В результате крупный бизнес и государственный сегмент теряют от одной утечки в среднем 5,5 млн рублей.

Новый ИИ-алгоритм НАСА в рекордно короткие сроки предупреждает о солнечных бурях Солнечные бури могут нанести ущерб на миллиарды долларов на Земле и на орбите, в том числе критической инфраструктуре. НАСА демонстрирует улучшенную систему раннего предупреждения таких событий.

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Китай запустил зонд «Чанъэ-6» для сбора образцов грунта с обратной стороны Луны Космическая программа Китая сделала очередной шаг вперед – страна запустила зонд, который должен на обратной стороне Луны собрать образцы грунта. Это стало новым достижением в амбициозных планах Китая по освоению космоса.

Бывший астронавт NASA раскрыл подробности процесса дефекации в космосе Миссия «Артемида» должна стать первым космическим проектом, в котором будет использоваться удобный туалет. Такой, который не требует многих часов тренировок перед использованием. Это крайне важный шаг, так как стремление частных компаний к освоению ближнего космоса ставит оч...

Руководство по созданию кластеров и управлению инфраструктурой с помощью Terraform Terraform — один из самых популярных инструментов для автоматизации работы с инфраструктурой. По данным исследования состояния DevOps в России 2023, его выбирают 37% специалистов. Вместе с тем многие DevOps-инженеры и системные администраторы продолжают сталкиваться с проб...

Prada поможет создать скафандры для лунной миссии «Артемида-3» Компания Axiom Space объявила о заключении соглашения с торговой маркой Prada для достижения важной цели. В прошлом году НАСА поручило Axiom Space спроектировать скафандры нового типа для жизни и работы в рамках освоения Луны колонистами. В компании сочли привлечение дизайне...

Загадка сверхновой: не было замечено гамма-излучение от недалекого взрыва Недавняя сверхновая в соседней галактике предоставила прекрасную возможность изучить, как эти звездные взрывы ускоряют космические лучи. Однако космический телескоп Fermi NASA не обнаружил ожидаемых высокоэнергетических гамма-лучей, что заставило ученых задуматься.

OPPO подробно рассказала о складных смартфонах на конференции Tech Week 2023 Высказывались на тему перспектив развития складных смартфонов в целом и планов компании по освоению данного сегмента рынка. Были затронуты и вопросы, непосредственно касающиеся новейших инженерных решений, обеспечивающих надежность и долговечность смартфонов с гибким экраном...

Как обстоят дела с космическими телескопами: анализ российских патентов Мы продолжаем серию публикаций, посвященных отечественным патентам в сфере освоения космоса. В предыдущей статье на Хабре мы затронули тему освоения Марса. В этот раз мы поговорим о космических телескопах и отечественных патентах на них.  Читать далее

Создано устройство, которое сразу же распознаёт черепно-мозговую травму В новом исследовании учёные из Бирмингемского университета в Великобритании описали устройство, которое значительно ускоряет процесс диагностики черепно-мозговой травмы путём сканирования глаза

Три совета по защите облачной инфраструктуры и рабочих нагрузок Благодаря комплексному подходу, основанному на обеспечении видимости, анализе угроз и их обнаружении, организации могут использовать облачные технологии без ущерба для безопасности, пишет на портале The New Stack Дэвид Пузас, старший ...

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

Суд в Турции удовлетворил иск оппозиции по мегапроекту канала "Стамбул", сыграв на пользу России Halk-TV: план зонирования резервной застройки района Енишехир у канала "Стамбул" отменен в связи с тем, что его реализация нанесет непоправимый ущерб землям сельхозназначения, лесным массивам и водным бассейнам, которые имеют жизненно важное значение для Стамбула

Найдены клетки-"зомби", стоящие за затуманенным сознанием после пережитого COVID Исследователи из Квинслендского университета раскрыли тайну длительного «затуманивания» мозга, вызванного COVID-19, выявив роль «зомбированных» клеток, вызванных инфекцией SARS-CoV-2. Исследование, проведенное на выращенных в лабораторных условиях мини-мозгах мышей, показало...

Томатный сок оказался особенно эффективен против сальмонеллы В новом исследовании, опубликованном в журнале Microbiology Spectrum, ученые из Корнельского университета обнаружили, что томатный сок обладает мощными антимикробными свойствами, эффективно нейтрализуя Salmonella Typhi, бактерию, вызывающую брюшной тиф, и другие вредные пато...

Беспилотники атаковали две военные базы США в Сирии База эт-Танф, расположенная недалеко от границы Сирии с Ираком и Иорданией, была атакована беспилотником в четверг, в результате чего был причинен незначительный ущерб

Ученые разработали перерабатываемую печатную плату, способную снизить экологический ущерб Инновационная печатная плата, которую можно перерабатывать многократно, способна сократить вред для экологии.

Российские ученые упростили синтез материалов для суперконденсаторов В пресс-службе Российского научного фонда (РНФ) сообщили, что российские ученые представили новый метод синтеза материалов для суперконденсаторов, который значительно упрощает и ускоряет процесс. Этот подход обещает сделать системы запасания энергии для электромобилей более ...

Исследование: гидрогели помогут создавать быстрых и ловких роботов Физики из Технологического института Вирджинии предложили новый механизм, ускоряющий расширение и сжатие гидрогелей. Благодаря этому гидрогели смогут заменить материалы на основе резины в гибких роботах. Такие роботы смогут двигаться быстрее и ловчее, приближаясь к возможнос...

Как сделать вывод на LED дисплей за один семинар с нуля Тут пришло приглашение на семинар по освоению программирования RA8M1. Предлагалось сделать четыре лабораторных работы и бесплатный обед с кофе-брейками. В заключении за труды получить очередную плату EK-RA8M1. От такого не отказываются. Хороший повод найти применение плате...

Politico: вступление Украины в Евросоюз может разрушить сельское хозяйство ЕС В ЕС оценили возможный ущерб для сельского хозяйства в случае вступления Украины

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

Lenovo обрушивает молот правосудия на Asus: иск против Zenbook за нарушение патентов Технологический гигант Lenovo подал иск против компании Asus за предполагаемое нарушение четырех важнейших патентов. Lenovo требует возмещения ущерба и прекращения продаж ноутбуков Zenbook, заявляя о нарушении патентов на беспроводную передачу данных, диагональную прокрутку ...

США предупредили об опасности установки в здании Пентагона "шпионской" солнечной системы из КНР Новый проект может нанести серьезный ущерб национальной безопасности США. Если эта модернизация действительно необходима, можно же в конце концов использовать американские микроядерные реакторы, считает экс-сотрудник Пентагона Стивен Брайен

В России создали экологичный катализатор для фармацевтики и бытовой химии В пресс-службе Санкт-Петербургского государственного университета сообщили, что ученые вуза создали инновационный катализатор, который не только экономически выгоден, но и безопасен для окружающей среды. Это вещество, ускоряющее химические реакции, может быть применено в раз...

Учёные зафиксировали на Луне постоянные оползни из-за сжатия спутника Земли Учёные из Мэрилендского университета в США провели серию моделирований для прогнозирования будущего лунных колоний и освоения спутника Земли. Исследования начались в связи с тем, что в 2010 году камера зонда NASA Lunar Reconnaissance Orbiter (LRO) зафиксировала на поверхност...

«Выстрел в ногу»: планы США в ветроэнергетике рушатся о 100-летний закон Амбициозный план администрации президента США Джо Байдена по освоению энергии оффшорного ветра столкнулся с неожиданным препятствием: законом столетней давности. Закон Джонса, требующий, чтобы для внутренних перевозок использовались суда, построенные, флаговые и экипажн...

Почему экосистемный подход повышает эффективность цифровой трансформации Создание партнерской экосистемы обеспечивает более быстрые и недорогие решения, ускоряющие трансформацию, пишут на портале InformationWeek топ-менеджеры EY Грег Сарафин и Джефф Вонг. В условиях экономической неопределенности ...

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

В ООН указали на необходимость расследования подрыва на «Северных потоках» Мирослав Енча, помощник генерального секретаря ООН, подчеркнул важность расследования и осуждения преднамеренного ущерба критической гражданской инфраструктуре после атаки на газопроводы "Северный поток" и "Северный поток-2". ООН призывает сотрудничать в расследовании и выра...

The Economist: Введение 100% таможенного тарифа на электромобили из Китая принесет ущерб для США Администрация президента Байдена приняла решение ввести 100-процентные таможенные тарифы на электромобили, произведенные в Китае. Этот шаг вызывает глубокое разочарование экспертов, которые считают его неоправданным протекционизмом, наносящим ущерб как американским потребите...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Ученые раскрыли факторы риска ускоренного старения мозга Исследование Оксфордского университета проливает свет на факторы, ускоряющие старение мозга. Исследователи проанализировали снимки мозга более 40 000 участников Биобанка Великобритании и определили диабет, загрязнение воздуха, связанное с движением транспорта, и употребление...

Путь джуниора через обучающие курсы: как выйти за пределы «пошаговых инструкций» Обучающие курсы — это то, с чего сегодня начинает путь почти любой разработчик. Существует много учебных программ, которые часто имеют одни и те же проблемы. В этом посте мы рассмотрим типичные ловушки, связанные с освоением программирования через ку...

Канзас-Сити погрузился в «хаос»: вымогательское ПО поразило округ в США Округ Джексон, штат Миссури, в котором находится большая часть Канзас-Сити, находится в смятении после того, как предположительно атака вымогательского ПО нанесла ущерб его IT-системам. Атака, объявленная главой округа Фрэнком Уайтом-младшим в качестве чрезвычайного положени...

720 млрд рублей потратит Россия на освоение космоса за три года Премьер-министр России Михаил Мишустин объявил о выделении огромных финансовых средств на развитие космической отрасли страны. Несмотря на продолжающиеся санкции, правительство будет выделять более 240 миллиардов рублей на эту отрасль ежегодно в течение следующих трех лет, п...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Вызов по спутнику в iPhone уже больше десятка раз спас жизни Функция вызова экстренных служб через спутник, разработанная Apple, оказалась успешной: в округе Лос-Анджелес было совершено более десятка драматических событий, в которых iPhone помог спасти жизни. Закулисный взгляд на поисково-спасательные операции показывает, как эта функ...

Китай решил привлечь более $ 27 миллиардов для развития полупроводников Китай готовит более $ 27 миллиардов для своего крупнейшего фонда для полупроводников, ускоряя разработку передовых технологий в ответ на кампанию США по торможению его роста. Национальный фонд интегральных микросхем собирает капитал от местных правительств и государстве...

Прогнозируется, что санкции в отношении российских алмазов будут бесполезны Немецкие эксперты прогнозируют, что санкции в отношении российских алмазов могут оказаться бесполезными и причинить больший ущерб мировой алмазной отрасли чем российской. Представители отрасли предупреждают о возможных потерях прибыли от продаж в 2024 году. Однако, точные по...

НИЯУ МИФИ и 3DLAM создают совместную лабораторию аддитивных технологий На базе дизайн-центра микроэлектроники полного цикла Mephius Национального исследовательского ядерного университета «МИФИ» будет создана новая лаборатория, задачей которой станет освоение и развитие технологий 3D-моделирования и 3D-печати. Индустриальным партнером вуза выст...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Джефф Безос запускает проект стоимостью $100 млн для решения климатических проблем с помощью ИИ Фонд Земли Безоса объявил о создании грандиозного проекта, целью которого является поиск решений на базе искусственного интеллекта для борьбы с изменением климата и нанесением ущерба окружающей среде.

Будущее фермерства: роботы «захватывают власть», рабочим от этого не легче Сельскохозяйстывенный ландшафт переживает революцию, вызванную «точным земледелием» — технологическим подходом, в котором используются автономные тракторы, обнаружение сорняков с помощью искусственного интеллекта и аналитика на основе данных для оптимизации урожайности....

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Российские ученые предложили новый метод заживления ран во рту Ученые Томского политехнического университета (ТПУ) разработали новый метод заживления ран полости рта с помощью отечественных мембран из пьезоэлектрического материала. В отличие от зарубежных аналогов из тефлона, российские мембраны не только защищают раны от бактерий, но и...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Лунная экономика: что это такое и как её намерены развивать Так называют концепцию развития экономической деятельности на Луне, тут всё просто. В её рамках рассматривается возможность использования ресурсов Луны для различных целей, таких как добыча полезных ископаемых, научные исследования, туризм, производство и т. д.В связи с...

OANDA объявила о программе Labs Trader Компания OANDA Global Corporation объявила о запуске OANDA Labs Trader, торговой программе, которая позволяет торговцам улучшать свои навыки и ускорять прибыль. Программа OANDA Labs Trader доступна жителям стран, которые обслуживает подразделение OANDA Global Markets, фирма,...

Десятки тысяч людей эвакуированы из-за сильных наводнений в Китае В провинции Гуандун в Китае эвакуированы 60 000 человек из-за сильных наводнений, вызванных продолжительными дождями. Жертв пока нет, но есть пропавшие без вести. Наводнения причинили значительный экономический ущерб и нарушили авиасообщение и электроснабжение. В других реги...

Стратегии экономии при покупке дорогих вещей «Реклама» АО «МФК ДжамильКо», https://elenamiro.ru/ ИНН 7703013782 Erid: LatgBgoRM Многие люди мечтают о модной брендовой одежде, но не могут себе ее позволить из-за ограниченного бюджета. Однако существуют способы, как сэкономить деньги и приобрести желаемое без ущерба для ...

Судный день откладывается: блуждающая звезда не уничтожит Землю еще 29000 лет Хорошие новости, земляне! Можно отменить планы строительства межзвездных бункеров, поскольку космическая угроза, исходящая от звезды-изгоя WD 0810-353, опровергнута. Астрономы из Европейской южной обсерватории (ESO) опровергли ранее высказывавшиеся опасения, что эта звезда, ...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Яндекс представил Станцию Миди — компактную колонку с мощным звуком и новыми возможностями Алисы Яндекс анонсировал новую колонку с Алисой — Станцию Миди. Это компактное устройство с мощным объёмным звуком, новыми возможностями Алисы и нейронным процессором, который ускоряет отклик на голосовые команды. А для устройств с поддержкой Zigbee голосовые команды работают даже...

[Перевод] 13 конфигураций Kubernetes, которые ты должен знать в 2k24 Поскольку Kubernetes продолжает оставаться краеугольным камнем оркестрации контейнеров, освоение его механизмов и возможностей становится обязательным для специалистов DevOps. В 2024 году некоторые конфигурации Kubernetes выделяются среди прочих благодаря функциональности в ...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

SANY принял участие в Mining and Metals Central Asia и Kazcomak, ускоряя развитие региона Ведущий глобальный производитель тяжелого оборудования SANY Group (SANY) принял участие в выставках Mining and Metals Central Asia и Kazcomak, проводившихся с 20 по 22 сентября в Алматы, Казахстан. Так как это одна из важнейших выставок машин для горно-добывающей отрасли в С...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Дайджест научпоп-новостей за неделю, о которых мы ничего не писали • Создатели суперкомпьютера Deep South обещают превзойти человеческий мозг более чем в 2 000 раз• Новая оконная плёнка блокирует УФ-излучение, вызывающее нагрев, без ущерба для обзора• Причудливая "метажидкость" из Гарварда обладает программируемыми свойствами• Красивая тума...

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Осваиваем чип с Arm Cortex-M85 Фирма Renesas вдруг стала раздавать бесплатно платы EK-RA8M1 со своим новейшим чипом R7FA8M1AHECBD. А чип этот является первым в индустрии коммерческим микроконтроллером с ядром Arm® Cortex®-M85. Работает ядро на 480 МГц, снабжено технологией M-Profile Vector Extension (MVE...

Испанские ученые нашли связь между болезнью Альцгеймера и атеросклерозом Мутация гена APOE4, связанная с болезнью Альцгеймера, также ускоряет развитие атеросклероза, утверждают ученые из испанского Национального центра исследований сердца и сосудов. Открытие обнаружено в ходе анализа данных мониторингового проекта PESA, в рамках которого более 4 ...

Пьезоэлектрический «костный бандаж» значительно ускоряет срастание костей Исследователи из Корейского института передовых технологий разработали уникальную методику заживления трещин в костных тканях. В ней используются два дополняющих друг друга подхода — пьезоэлектрический бандаж и стимулирующий рост клеток природный минерал. Ученые постарались ...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)