Социальные сети Рунета
Вторник, 21 мая 2024

IBM показала нанолистовой транзистор, который любит температуру кипения азота в 77 Кельвинов Компания IBM на конференции IEEE International Electron Device Meeting (IEDM) продемонстрировала концептуальный нанолистовой транзистор

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Представлены новые концептуальные электромобили Toyota Comfortable Space и Enjoyable Space Два новых концептуальных электромобиля Toyota — Comfortable Space и Enjoyable Space. Они были показаны на автосалоне в Гуанчжоу в Китае. Comfortable Space — это седан, похожий на кроссовер bZ Sport, а Enjoyable Space — внедорожник.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Гендиректор Nvidia поделился с журналистами своим видением дальнейшего развития технологий ИИ Дженсен Хуанг считает, что ближайшие пять лет может появиться некая форма общего искусственного интеллекта

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Эксперт рассказал, какая технология значительно ускорит развитие квантовых компьютеров Директор Института физики и квантовой инженерии НИТУ МИСИС рассказал, как квантовая память поможет в развитии технологий

Toyota Camry 2025 показали в рекламном ролике от партнёра Toyota USA, но оказалось, что это фанатский рендер Один из партнеров Toyota USA решил использовать в своём рекламном ролике рендер Toyota Camry 2025, созданный дизайнером Theottle. Некоторые зрители предположили, что это может быть тизер будущей Camry, однако позже выяснилось, что это не так. Ролик оперативно удалили из...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Обзор концептуальных моделей Zero на CES 2024 В Лас-Вегасе на выставке потребительской электроники CES 2024 компания Honda презентовала новую серию современных электрокаров Zero, показав концептуальный минивэн Space-Hub и седан Saloon

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Илон Маск заявил, что для обучения языковой модели Grok 3 понадобится около 100 000 ускорителей Nvidia H100 Илон Маск рассказал, что для обучения нового поколения генеративного искусственного интеллекта Grok компании xAI нужно очень много ускорителей Nvidia.  фото: Nvidia Обучение модели Grok 2, которая уже вскоре станет доступно, потребовало 20 000 ускорителей Nvidia H...

Появление опытного образца самолета Ил-212 ожидается к 2026 году Ан-72 Ранее уже сообщалось, что в России отказались от дальнейшей разработки «транспортника» Ил-112В и переключились на создание другого легкого транспортного самолета — Ил-212, а теперь стали известны первые подробности. По словам Сергея Шойгу, его опытный образец будет го...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

В TSMC считают, что число транзисторов в GPU превысит 1 триллион в течение 10 лет За счет внедрения новых технологий упаковки

Tesla испытывает версию Model 3 без зеркал заднего вида Компания Tesla, как сообщается, проводит испытания усовершенствованной версии своей популярной модели Model 3, в которой традиционные боковые зеркала заднего вида заменены камерами. Этот шаг может указывать на дальнейшее развитие технологий цифровых зеркал в будущих автомоби...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Business Insider: Образцы с Луны могут стать источником энергии Китайские ученые обнаружили новый минерал в образце породы, доставленном с Луны в 2020 году. Минерал Changesit-(Y) содержит гелий-3 и может служить источником энергии.

Команда OSIRIS-REx вскрыла основной контейнер с образцом и раскрыла общее количество материала, собранного с поверхности астероида Бенну Стало известно точное количество образцов, собранных астрономической миссией OSIRIS-REx с астероида Бенну. Помимо уже известных 70,3 грамма образцов, собранных снаружи контейнера, учёным удалось окончательно открыть контейнер и обнаружить дополнительные 51,2 грамма с по...

Построение логических элементов на транзисторах Ещё очень давно, как только я начал интересоваться цифровой электроникой, я изучал логические элементы и сразу хотел что-то собрать на их основе, но самих микросхем логики у меня изначально не было, поэтому я решил собрать их самому на основе биполярных транзисторов NPN...

Представлено концептуальное кресло Razer Cthulhu, оснащенное восемью роботизированными руками. Конечно ж, это 1-апрельская шутка Razer представила концептуальное игровое кресло Razer Cthulhu, которое включает восемь роботизированных рук, управляемых искусственным интеллектом для удовлетворения потребностей геймера, не желающего лишний раз вставать. Анонс был сделан ко Дню дурака. Встроенная функ...

В Великобритании опасаются, что развитие ИИ приведёт к сокращению 8 миллионов рабочих Согласно отчету аналитиков, искусственный интеллект может заменить большое количество сотрудников

[Перевод] Как я помог сыну собрать релейный калькулятор Недавно мой старший сын решил собрать однобитный компьютер на дискретных транзисторах. Идея создания однобитного устройства может показаться дурацкой, но в данном случае речь идёт не о машине, имеющей всего две инструкции или ячейки памяти, а о размере «слова» арифметически...

Samsung хочет возглавить рынок объемом 100 млрд долларов. Чипы 3D DRAM могут иметь ёмкость до 100 ГБ Компания Samsung Electronics нацелилась на то, чтобы стать лидером на рынке памяти 3D DRAM. Это объявление было сделано на конференции Memcon 2024, где компания представила ряд новинок. Поскольку ожидается, что во второй половине этого производство DRAM будет вестись по...

Самый концептуальный истребитель: Су-47 "Беркут" - неудача, которая привела к созданию Су-57 Представленный в 1996 году Су-47 "Беркут" с крылом обратной стреловидности вызвал горячие споры о том, что он может превзойти современные западные истребители, такие как F-22 Raptor.

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

«Это позволит смартфонам иметь связь в любой точке планеты», — Илон Маск показал первые спутники Starlink с прямым подключением к смартфонам Компания SpaceX официально заявила, что она собирается запустить первые шесть спутников Starlink с возможностью прямого подключения к смартфонам, что будет способствовать дальнейшему развитию глобальной связи и поможет устранить мертвые зоны (где нет связи). Сам Илон Ма...

Артефакты прошлого: TabletPC Compaq TC1000 с процессором Transmeta Crusoe TM5800 Мы живём в золотой век процессоров с миллиардами транзисторов на борту, тактовая частота которых близка к 5 ГГц. «Место под солнцем» заняли такие гиганты, как Intel и AMD, сделавшие ставку на архитектуру x86_64, а также Apple, решившая занять пьедестал архитектуры ARM. Это б...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Nvidia выпустила три новых видеокарты и заявила о лидерстве в гонке систем AI PC. Что это за адаптеры? Nvidia представила новые графические адаптеры в рамках стратегии развития AI PC. Три новые модели выпущены в рамках линейки GeForce RTX™ 40 SUPER Series, это GeForce RTX 4080 SUPER, GeForce RTX 4070 Ti SUPER и GeForce RTX 4070 SUPER. 8 декабря на выставке CES в Лас-Ве...

Масштабные изменения в C# 14.x и дальнейшие планы развития .NET Масштабные изменения в C# 14.x и дальнейшие планы развития .NETПока разработка .NET 9 идет полным ходом, Microsoft приоткрыла нам завесу дальнейших будущих масштабных изменений в экосистеме .NET. Компания поделилась своим видением развития новой версии платформы .NET и C# в ...

Intel, TSMC и Samsung показали технологию CFET на конференции IEEE IEDM Intel, TSMC и Samsung демонстрируют CFET на конференции IEEE IEDM, ожидая почти двукратного увеличения плотности транзисторов

Samsung ускорит внедрение подачи питания с оборотной стороны кристалла чипа Технология может найти применение уже в рамках 2-нм техпроцесса со следующего года.

SK Hynix Inc. вложит еще $14.6 миллиардов в расширение своих производственных мощностей Компания планирует окупить свои вложения за счет дальнейшего развития технологий искусственного интеллекта

Газовые гиганты влияют на химический состав планетных систем Химический состав планеты может раскрыть информацию о её истории и области формирования, а содержание воды на планете может помочь определить путь её миграции в солнечной системе.  Если планета образовалась во внешних областях диска и мигрировала внутрь, то она буд...

Ретейлер «М.Видео» ищет способы сократить высокие долги Ретейлер электроники «М.Видео» сообщил о планах провести дополнительную эмиссию акций для увеличения уставного капитала на 17%. Это решение призвано помочь компании снизить долговую нагрузку и обеспечить дальнейшее развитие бизнеса.

Россияне много работают, но их труд не так продуктивен Развитие технологий не раз обещало человечеству улучшение процессов производства. А значит, сокращение рабочего времени. Посмотрим, что происходит с продолжительностью рабочей недели в РФ, насколько продуктивен труд россиян и как можно улучшить условия труда. Читать далее

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Игры помогут в развитии когнитивных навыков у подростков Ученые из Сеульского национального университета провели исследование, в результате которого выяснили, что увлечение видеоиграми может оказаться полезным для развития подростков. Исследование, опубликованное в научном журнале Healthcare, показало, что игры способствуют улучше...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Amazon сократит сотни рабочих мест в своем облачном сервисе AWS Amazon объявил о сокращении сотен рабочих мест в своем облачном сервисе AWS в рамках стратегической перестройки. Основными направлениями сокращения станут команды, отвечающие за технологии для физических магазинов, а также отделы продаж, маркетинга и глобального обслуживания...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Nokia приняла решение сократить 14 000 рабочих мест Весьма неожиданным решением стал план компании Nokia по сокращению до 14 000 рабочих мест, что может свидетельствовать о замедлении развития в технологической индустрии.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Китайский автогигант BYD запускает в серию суперкар без стекол и крыши Китайский автогигант BYD презентовал концептуальный спорткар Super 9. Новинка, не имеющая крыши и ветрового стекла, получила стильные двери-ножницы. Машина представлена под новым брендом, который позиционируется BYD как премиальный. Появление роскошного спортивного автомобил...

Российские учёные разработали микроскоп для изучения квантовых битов Учёные МИСиС разработали микроволновый микроскоп, который поможет в развитии квантовых технологий

Motorola вернулась с концептом смартфона-браслета Motorola, дочернее предприятие Lenovo, представила на выставке Lenovo Tech World '23 концептуальный смартфон с «адаптивным дисплеем». Прототип оснащен FHD+ pOLED-дисплеем, который может превращаться в различные формы, включая традиционный смартфон, настольную подставку и даж...

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

Cпрос на оборудование 5G упал: Ericsson увольняет еще 1200 человек. В прошлом году сократили 8500 сотрудников Ericsson объявила, что уволит около 1200 сотрудников в Швеции, поскольку телекоммуникационная компания сталкивается с замедлением спроса на ее оборудование 5G. В заявлении компании говорится, что сокращение является частью более широкого плана по сокращению расходов в э...

Создана электронная перчатка для подводного общения Исследователи из American Chemical Society Nano представили водонепроницаемую электронную перчатку, способную передавать жесты рук под водой, на компьютер, который переводит их в сообщения. Эта новая технология может в будущем помочь дайверам эффективнее общаться между собой...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Как никогда прежде: ученые упростили выявление рака по анализам крови Исследователи разработали новый метод, позволяющий значительно повысить степень извлечения циркулирующей опухолевой ДНК (ctDNA) в образцах крови. Этот прорыв может помочь в выявлении и мониторинге рака, обеспечив более раннюю диагностику, более точное обнаружение мутаций и у...

Как музыка может в будущем помочь людям с диабетом вырабатывать инсулин Инсулин сложно получать в виде таблеток, поскольку он легко разрушается в желудке. Сейчас исследователи разрабатывают лекарства, препятствующие перевариванию этого белка в желудке, а также кожные пластыри, которые помогают контролировать уровень сахара в крови и автоматическ...

Ракеты в России будут запускать быстрее. Роскосмос планирует ускорить предстартовую подготовку Заместитель гендиректора Роскосмоса по средствам выведения, эксплуатации наземной космической инфраструктуры, обеспечению качества и надежности Александр Лопатин заявил, что корпорация планирует ускорить предстартовую подготовку перспективных ракет-носителей при помощи ...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

«Мы создаём будущее», — Panasonic представил мобильную гостиную В преддверии Japan Mobility Show 2023 компания Panasonic раскрыли свои планы на это мероприятие. Компания представят новую систему хранения вещей, технологии безопасности для велосипедистов и беспилотный автомобиль, который показывает, как может выглядеть будущее автоно...

Си Цзиньпин дал понять Байдену, что США не стоит поддерживать Тайвань и препятствовать развитию КНР По словам лидера КНР, Пекин предпочитает мирное воссоединение с Тайванем, но не отказывается от военных инструментов

Ультразвуковой датчик может помочь выявить ранние признаки болезни печени, почек или развития рака Инженеры Массачусетского технологического института представили изобретение, которое может изменить способ, которым врачи следят за состоянием внутренних органов, выявляют заболевания и отслеживают прогрессирование рака.

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Cerebras представила новый ИИ-чип CS-3 размером с пластину с 900 000 ядрами и 4 трлн транзисторов Вместо того, чтобы разрезать кремниевую пластину на отдельные микросхемы, компания Cerebras использует ее целиком для создания огромного чипа.

Скоро ожидаются новые концепткары Toyota Toyota представила два новых концептуальных электрокара: доступный кроссовер Urban SUV, который станет самым дешёвым автомобилем компании в сегменте, и кросс-купе FT-3e, выполненный в спортивном стиле

Процессор Intel полувековой давности. 50 лет назад компания представила Intel 8080 Полвека назад компания Intel представила процессор Intel 8080.   12 апреля 1974 года в журнале Electronics была опубликована фактически анонсирующая статья Масатоши Симы и Федерико Фаггина о новом CPU компании.   Новый процессор содержал около 4500 транзистор...

В TikTok тестируется новая функция идентификации товаров Можно сказать, что это дальнейшее развитие TikTok Shop.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Яндекс запустил бесплатную программу по повышению цифровой грамотности Команда Яндекса сообщила о запуске бесплатной программы на платформе «Яндекс Практикум» по развитию цифровой грамотности для тех, кто хочет лучше понимать, как устроен современный цифровой мир, или помочь близким разобраться в нем. Как отмечают разработчики...

Дизайн самолетов 1940-х годов возвращается для сокращения затрат на полеты Технологии прошлого века возвращаются в современность. Группа предприимчивых бизнесменов из Западного Техаса решила вернуть в жизнь дизайн самолетов 1940-х годов. Их план заключается в использовании специальных самолетов-планеров без двигателей, которые будут буксироваться д...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

ГК Softline и технопарк «Сколково» открыли Центр технологического суверенитета Новое пространство призвано помочь отечественным компаниям укрепить импортонезависимость путем консолидации экспертизы в области российских продуктов, а также за счет развития плодотворного сотрудничества и внедрения передовых технологий и решений.

Томский политехнический университет вступил в Ассоциацию развития аддитивных технологий Членство в организации поможет университету готовить востребованные кадры и предлагать новые решения для развития отечественной аддитивной отрасли.

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Представлен летательный аппарат, взлетающий за счёт катушек вместо пропеллеров После 15 лет разработки и тестирования прототипов, компания CycloTech представила свой первый концептуальный летательный аппарат.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Голос как высокая технология. Обзор новых ТВ Станций, созданных Яндексом. Диджитал-флагман Яндекс представил пользователям свои новые устройства — ТВ Станции. Это не «еще одна плоская панель», а гибрид телевизора и музыкальной колонки с Алисой. Теперь телевизор может помочь найти пульт, включить музыку и запустить робот-пылесос. Базовые техническ...

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Ученые разработали эффективную технику для создания искусственных хромосом Ученые из Перельманской медицинской школы Университета Пенсильвании разработали эффективную технику создания искусственных хромосом (HACs) из длинных конструкций дизайнерской ДНК. Этот подход обходит распространенные технические препятствия, препятствующие развитию HACs.

Российские финансовые компании активно осваивают возможности искусственного интеллекта Исследование показало, что 95% российских финансовых компаний интегрировали технологии искусственного интеллекта в свои основные рабочие процессы, что подтверждает тенденцию к дальнейшему технологическому развитию в этой сфере.

Новые смарт-часы Polar помогут составить идеальный план тренировок Vantage V3 отслеживает частоту сердечных сокращений и состояние нервной системы

Лекарство от ревматоидного артрита помогло еще и щитовидной железе Недавнее исследование показало, что препараты, применяемые для лечения ревматоидного артрита, могут не только купировать воспаление суставов, но и препятствовать возникновению аутоиммунных заболеваний щитовидной железы.

Петербургский бизнес может получить полмиллиона рублей на развитие облачных технологий Провайдер CloudMTS запустил грантовую программу для российских компаний, которые хотят освоить облачные технологии или масштабировать их использование.

NVIDIA представила GPU Blackwell B200 с 208 млрд транзисторов На конференции GTC 2024 NVIDIA представила графический процессор Blackwell B200. Новый GPU обеспечит пятикратный прирост производительности в области искусственного интеллекта по сравнению с Hopper H100 текущего поколения, и имеют в четыре раза больше встроенной памяти. B200...

Hedera выделяет 5 млрд HBAR на развитие экосистемы В рамках стратегического шага, направленного на стимулирование роста сети Hedera, Совет Hedera одобрил выделение около 5 миллиардов HBAR, собственной криптовалюты Hedera Hashgraph, для дальнейшего развития и продвижения децентрализованного управления

Ученые достигли прорыва в понимании органических транзисторов Исследователи достигли прорыва в понимании органических электрохимических транзисторов (ОЭХТ), ключевой технологии для преодоления разрыва между биологией и электроникой. ОЭХТ открывают огромные перспективы для применения в биосенсорах, вычислениях, вдохновленных мозгом, и м...

Sony и Seagate объявили о партнёрстве в сфере технологий хранения данных Первые образцы накопителей ёмкостью 30 Тбайт на основе платформы Seagate Mozaic 3+ с технологией HAMR были продемонстрированы месяц назад.

В России совершил первый полет широкофюзеляжный дальнемагистральный Ил-96-400М Новая модель является дальнейшим развитием линейки Ил-96

Arctic выпустила процессорные кулеры Liquid Freezer III AIO Разработанный для обеспечения высокой производительности охлаждения, Liquid Freezer III может похвастаться дальнейшим развитием таких отличительных особенностей, как более эффективная холодная пластина, увеличенный вентилятор VRM и большая площадь поверхности радиатора для р...

Энергетика Будущего: Как искусственный интеллект помог разработать батарею с 70%-ной экономией лития Литий-ионные батареи, как известно, являются источником питания множества гаджетов, электромобилей и других устройств, без которых трудно представить нашу повседневную жизнь. При этом добыча лития наносит ощутимый экологический ущерб, а цены на него постоянно растут. По...

Astronomy.com: В образце астероида обнаружены новые породы Новые необычные породы были обнаружены в образцах астероида Бенну в ходе исследования первичной породы.

В ВСУ начали использовать "матку" fpv-дронов, выполняющую функцию наводчика и носителя "птиц" Рассекретить новый образец ВСУ помог умело осуществленный перехват видеопотока украинского "дрона-авианосца".

Жидкостный телеобъектив, регулируемая диафрагма и не только: новые технологии Tecno Tecno, бренд смартфонов и умных устройств, представил трио инноваций на выставке Future Lens 2023 в Шанхае, посвященной развитию мобильной фотографии.

Российской OCR – 30 лет. Как появилась технология, благодаря которой мы забыли про ручной ввод? (Часть 2. OCR CuneiForm) В честь 30-летия OCR мы продолжаем вспоминать, как появились первые отечественные технологии распознавания текста. На прошлой неделе мы рассказали про самую первую такую программу – OCR Tiger, предназначавшуюся для оцифровки книг с целью их дальнейшего переиздания. Сего...

NVIDIA представила чип B200: два графических кристалла, 208 миллиардов транзисторов и 192 ГБ HBM3E Чип NVIDIA B200 ляжет в основу различных продуктов компании, включая «суперчип» NVIDIA GB200 Grace Blackwell, который объединит два чипа B200 и процессор Grace.

Огромный чип на 4 триллиона транзисторов представила компания Cerebras Systems Для создания Cerebras WSE-3 используется вся 300-миллиметровая полупроводниковая пластина

Samsung разработала технологию для улучшения производственных процессов Однако, специалисты опасаются, что новая разработка приведёт к сокращению рабочих мест

Новая технология может помочь самолетам трансформироваться во время полета Ученые изобрели новый материал для самолетов. Их «программируемая материя» могла постоянно перестраиваться, чтобы оптимально адаптироваться к условиям окружающей среды.

Microsoft решила объединить ядерную энергетику и искусственный интеллект В рамках нового подхода компания Microsoft решила объеденить ядерную энергию и искусственный интеллект (ИИ), чтобы запустить следующую «эру развития ИИ». Технологический гигант предложил использовать технологию ИИ для упрощения сложных процессов регулирования, связанных с со...

Европейские страны закупаются новыми образцами современной военной техники Конфликт на Украине позволил списать старые образцы танков, БМП и других бронемашин и закупить новую бронетехнику

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Директором дивизиона СТМ Группы компаний Merlion назначен Евгений Заходнов В новой должности Евгений сосредоточится на формировании нового видения и дальнейшем развитии собственных торговых марок (СТМ) Группы компаний Merlion.

Робот СЭМ-350 отправится в Запорожье и поможет ВС РФ на передовых позициях с транспортировкой Мощность новых экземпляров увеличена в 2 раза в сравнении с исходным образцом прошлого поколения

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Моль может помочь в выращивании следующего поколения пандемических вакцин Новая технология производства вакцин описывается как более дешевая, простая и быстрая, чем использование куриных яиц.

Как пользоваться Claude: знакомство с главным конкурентом ChatGPT и базовые правила его использования В последние годы мы стали свидетелями стремительного развития и роста популярности чат-ботов на базе искусственного интеллекта. Одним из наиболее известных и широко используемых чат-ботов стал ChatGPT от компании OpenAI, который продемонстрировал впечатляющие возможности в о...

На MWC 2024 представлен концептуальный электровелосипед от Orbic с 5G и ИИ Практически смартфон на колесах. Концептуальный электровелосипед с ОС Android, множеством камер и 5G продемонстрировала компания Orbic.

Великобритания решила потратить 10 млн фунтов на новый космодром Будущее космических исследований в Великобритании становится интереснее, так как правительство выделило 10 миллионов фунтов стерлингов на развитие космодрома СаксаВорд в Шотландии. Это вливание средств призвано помочь космодрому осуществить свой первый орбитальный запуск в к...

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

Представлен концептуальный электрический велосипед Honda e-MTB На мероприятии Japan Mobility Show 2023 было представлено множество решений в сфере мобильности. Одной из новинок здесь стал электрический велосипед-концепт Honda e-MTB.

Затягивание конфликта на Ближнем Востоке может привести к росту цены на нефть до $150 за баррель Развитие палестино-израильского конфликта с большой тревогой отслеживается экспертами в различных странах мира, в связи с чем высказываются весьма пессимистические оценки в случае его дальнейшего затягивания.

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Java Digest #5 Всем привет!

AMD открыла исходный код технологии FSR 3 Теперь процесс интеграции и развития технологии может ускориться.

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Сбер представил ИИ-сервис «Ассистент преподавателя» Команда Сбербанка представила на  Московском международном Салоне образования новый сервис на основе искусственного интеллекта «Ассистент преподавателя». Он способен автоматически переводить аудиозапись урока в текст, анализировать и предоставлять детал...

Китай ускоряет развитие новых технологий в стремлении к самообеспечению Среди конкретных направлений - квантовая информация, искусственный интеллект, аналитика больших данных и другие передовые технологии

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Представлена Motorola, которую можно носить на руке На конференции Lenovo по инновациям и технологиям, состоявшейся сегодня рано утром, компания Motorola продемонстрировала новое концептуальное устройство: мобильный телефон с изменяемой формой корпуса и сгибающимся экраном. В этом мобильном телефоне используется дисплей ...

AlmaLinux создает новую группу по интересам для углубленного развития ИИ Команда проекта AlmaLinux анонсировала создание специальной группы по интересам (SIG), фокусирующейся на развитии технологий высокопроизводительных вычислений и искусственного интеллекта.

Новый твердотельный аккумулятор QuantumScape может потерять лишь 5% ёмкости за 1000 циклов заряда Данная технология способна решить большое количество проблем, стоявших перед производителями электрокаров

КамАЗ будет развивать водородные технологии ПАО АФК «Система» и КамАЗ заключили соглашение о сотрудничестве в области развития водородных технологий. Стороны объединят свои усилия для создания серийных продуктов, использующих водород, таких как грузовые автомобили, складская техника, водные автобусы-э...

Apple добавила на старые iPhone поддержку Qi2 Когда речь идет о беспроводной зарядке, компания Apple, и это вполне логично, предпочитает использовать свою собственную технологию MagSafe. И все новые модели iPhone действительно предлагают эту технологию, но Apple хочет изменить ситуацию, чтобы предоставить поддержку этой...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Геймеры помогли ученым изучить микробный мир Использование геймеров и технологии видеоигр может значительно усилить научные, утверждает новое исследование, опубликованное в Nature Biotechnology.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

75 лет транзистору: прошлое, настоящее и будущее самого важного изобретения современного мира Днем рождения транзистора считается 23 декабря 1947 года. Тогда Уолтер Браттейн и Джон Бардин продемонстрировали первый в мире транзистор с точечным контактом. Оба физика были членами исследовательской группы Bell Labs, искавшей новое средство усиления электрических сигналов...

Samsung установит в Galaxy S25 сенсор от Sony Нужно понимать, что компания Samsung активно использует технологии из своих предыдущих смартфонов в новых флагманах, потому что в некоторых областях развитие технологий не настолько заметное, чтобы каждый год демонстрировать что-то невероятное. Например, серия смартфонов Gal...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

В Москве восстановили уникальную «Волгу» Музей транспорта Москвы рассказал о реставрации редчайшего образца московского таксомотора ГАЗ-24-04, а также опубликовал интересное видео. Такие универсалы ГАЗ-24-04 использовались в московском такси с 1973 по 1991 годы. До 1985 года всего было выпущено всего около 8,...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

«Двигай телом»: обзор новой игровой консоли Nex Playground На выставке CES 2024 Nex Playground назвали интересной инновацией этого года. Из необычного — консоль использует технологию отслеживания движений, чтобы пользователи могли управлять процессом игры. Мы решили разобраться, откуда пошел тренд на «управлением всем телом» и како...

НАСА выбрало три компании для разработки своих лунных вездеходов Один из этих концептуальных лунных вездеходов может присоединиться к астронавтам НАСА в миссии «Артемида V» на Луне.

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Брайан Олсавски: Amazon нанимает сотрудников для развития собственного генеративного ИИ Финансовый директор Amazon дал комментарий по поводу сокращений среди сотрудников

Эксперты перечислили лучшие продукты от стресса Стресс, особенно хронический, может сильно навредить здоровью. Например, известно, что он повышает вероятность развития болезней сердца. Вот какие продукты помогут при стрессовой ситуации.

Sony сокращает 900 сотрудников игровых студий по всему миру Sony объявила о сокращении около 900 сотрудников своих игровых студий по всему миру, включая разработчиков известных игр, в связи с изменениями в индустрии и необходимостью адаптации к новым технологиям и экономическому ландшафту.

Volvo инвестирует в технологию Breathe Battery, позволяющую на 30% ускорить зарядку электромобилей Стратегические инвестиции Volvo Cars в технологии Breathe Battery Technologies направлены на значительное сокращение времени зарядки электромобилей, что сделает электромобили более привлекательными.

В Калифорнии решили «усмирить» потенциально мощнейший искусственный интеллект Калифорния, штат, синонимичный технологическим гигантам и передовым инновациям, делает шаг к регулированию искусственного интеллекта. Сенатор штата Скотт Винер представил законопроект, который может определить будущее развития искусственного интеллекта по всей стране, требуя...

[Перевод] Генезис-файлы. Часть II: Hashcash или как Адам Бэк разработал сердце Биткоина Это – вторая публикация в серии материалов, посвященных становлению движения шифропанков. Эти статьи призваны познакомить сообщество с технологиями, предшествующими киберкоммерции, которую мы сегодня наблюдаем. Понимание истории, осознание мотивов и методов достижения целей ...

Укрощение примитивов синхронизации: сравниваем решения задачи с построением пула потоков на С и Go Эта статья основана на истории об одном личном челлендже. Задача звучала так: помочь с реализацией взаимодействия компонентов в программном комплексе заказчика. Иными словами, понадобилось IPC для дальнейшего развития продукта. Вызов заключался в том, что в обязательных треб...

Илон Маск уволил почти всю команду Supercharger в Tesla Tesla увольняет команду, отвечавшую за развитие сети Supercharger, на фоне сокращения расходов и замедления роста компании.

Топ-7 развивающих приложений на смартфон для детей разных возрастов Ребенку дошкольного возраста трудно обучаться основам знаний, если процесс не похож на игру. Мы решили собрать качественных приложений для детей на Android и iOS, которые помогут в раннем развитии базового мышления, логики и памяти. Также помогут научиться считать, писать и ...

Слух: Microsoft представит свой ИИ-чип на конференции Ignite 2023 Microsoft может представить первый собственный чип для искусственного интеллекта на грядущей конференции Ignite 2023, которая пройдёт с 14 по 17 ноября в Сиэтле. Об этом сообщает издание The Information. Отметим, что в расписании действительно присутствует сессия, посвящённ...

Microsoft представила новые ускорители для доминирования в области ИИ Microsoft обнародовала свое «секретное оружие»: ИИ-ускоритель Azure Maia 100 и процессор Azure Cobalt 100. Ускоритель Maia, созданный для совершенствования искусственного интеллекта, оснащен 105 миллиардами транзисторов и предназначен для больших языковых моделей, таких как ...

Миссия, вернувшаяся на Землю с образцами метеорита может дать ключ к пониманию происхождения жизни Капсула спускаемого аппарата доставила ценнейший груз: горсть пыли, собранную с астероида Бенну, межпланетного камня размером с гору, который возможно поможет дать нам ответ на один из самых важных вопросов человечества, откуда мы пришли и как зародилась жизнь на нашей плане...

В России появился институт развития радиофотонных технологий Ростех начали работу над развитием радиофотонных технологий

Apple представила ряд больших языковых моделей с открытым исходным кодом Эти модели предназначены для автономной работы на устройствах без необходимости подключения к интернету, что открывает новые горизонты для развития и применения технологий ИИ.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Астрономы открыли новый тип звёзд, способных выбрасывать в космос огромные клубы дыма Данное открытие должно помочь в дальнейшем исследовании формирования звёзд и планет во Вселенной.

[Перевод] Генезис-файлы. Часть I: eCash Дэвида Чаума и зарождение мечты шифропанков Это – первая публикация в серии материалов, посвященных становлению движения шифропанков. Эти статьи призваны познакомить сообщество с технологиями, предшествующими киберкоммерции, которую мы сегодня наблюдаем. Понимание истории, осознание мотивов и методов достижения целей ...

NASA пожаловалась на «очень проблематичное» сокращение своего бюджета Управление космических технологий NASA находится в тяжелом положении, поскольку Сенат США предлагает сократить бюджет на 7% на 2024 год, что может оказать «значительное влияние» на важнейшие программы, предупреждает Прасун Десаи, исполняющий обязанности помощника администрат...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

В российских вузах собираются ввести единый образовательный стандарт по аддитивным технологиям Проект стандарта уже разработан и обсуждается с ведущими университетами страны, сообщила директор Ассоциации развития аддитивных технологий (АРАТ) Ольга Оспенникова на казанском форуме «Аддитивные технологии — новая реальность». Принятие стандарта ожидается в следующем году.

Французский стартап представил спутниковый интернет с новой технологией RIS Новаторская технология RIS от французского стартапа может изменить спутниковый интернет, делая его более доступным и энергоэффективным благодаря метаматериалам.

В Китае разработали систему связи NearLink, которая в шесть раз быстрее Bluetooth Компания Huawei официально представила технологию беспроводной связи ближнего действия NearLink, которая может сменить Wi-Fi и Bluetooth. Это детище китайской промышленности — в разработке NearLink участвовало 300 различных организаций. Технология полностью готова и уже внед...

MediaTek выпустит самый большой мобильный процессор с более 30 млрд транзисторов Компания MediaTek готовит к выпуску свой следующий флагманский чипсет Dimensity 9400, который может похвастаться революционным размером кристалла.

Ripple поможет DZ Bank использовать криптовалюту DZ Bank, один из крупнейших банков Германии, решил запустить свою платформу хранения цифровых активов для институциональных клиентов и, таким образом, обнародовал свои планы по использованию технологии Ripple для своих предложений

В Web3 Foundation рассказали о поддержке Polkadot Компания по развитию Polkadot Web3 Foundation опубликовала план дальнейших действий

Google представила Gemini — «убийцу» ChatGPT Компания Google официально объявила о запуске собственной системы искусственного интеллекта Gemini. По заявлению генерального директора Google Сундара Пичаи (Sundar Pichai), который провёл презентацию новейшей разработки, Gemini 1.0 на данный момент является самой мощно...

В Бурятии создали нейросеть для городского планирования В пресс-службе Восточно-Сибирского государственного университета технологий и управления (ВСГУТУ) в Бурятии сообщили, что ученые вуза создали нейросеть, способную автоматически обнаруживать изменения в застройке городов. Эта технология может быть полезной для городского план...

Смарт-часы с камерами могут получить второй шанс Помните неуклюжие часы Samsung Galaxy Gear с их 2-мегапиксельными камерами? Те первые попытки, возможно, и провалились, но с развитием технологий смарт-часы с камерами могут снова стать популярными, считает редактор 9to5Google. Это возрождение может совпасть с новым витком W...

Один прогиб и ты погиб: развитие технологии захвата движений Гадаете, как современные фильмоделы умудряются делать таких страшных, но реалистично двигающихся монстров? Задумывались, может ли корявый алгоритм распознавания движений с камеры стать хоть чуточку надежнее? Российская наука говорит — да, это реально! Но как именно? Об этом ...

Оборонные компании Канады и Франции создали платформу с БПЛА для разведки и наблюдения Компании Rheinmetall и Elistair объявили о новом партнерстве, направленном на дальнейшее развитие их инновационной платформы «беспилотник в коробке».

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Космическое сокровище доставлено: OSIRIS-REx завершил сбор и доставку образцов астероида Бенну после семилетнего путешествия на расстояние 6,2 млрд километров После длительного путешествия к астероиду Бенну и обратно, аппарат OSIRIS-REx сбросил капсулу с образцами астероида, находясь на высоте около 101 000 км над Землёй. В капсуле содержится около 250 гр материалов с Бенну, которые могут помочь учёным ответить на вопросы о п...

255-дюймовый телевизор Samsung отображает машины в натуральную величину. Это уже помогает Lucid Lucid Motors сообщила об использовании в работе 255-дюймового телевизора Samsung The Wall, который помогает автопроизводителю быстрее проектировать автомобили, поскольку он настолько велик, что может отображать их в натуральную величину. По словам Samsung, The Wall испо...

Россия к 2030 году войдет в пятерку мировых лидеров по развитию ИИ Общий вклад ИИ-технологий в глобальную экономику может достичь 15 триллионов долларов

Среди профессий будущего появится ИИ-медсестра: на это повлияет развитие ИИ Компания Amazon подготовила отчёт, согласно которому среди профессий будущего, связанных с развитием технологий искусственного интеллекта, виртуальной реальности, включая метавселенные, появится и специальность ИИ-медсестры.

ASML планирует выпускать литографы нового поколения для производства чипов в 2026 году Новые литографы ASML уменьшат размер транзисторов на 40%.

Intel выпустит процессор с рекордным триллионом транзисторов уже к 2030 году Intel стремится к новому технологическому прорыву.

Шойгу заявляет о значительных потерях украинской армии в 2023 году Сергей Шойгу сообщил о больших потерях украинской армии в 2023 году, подчеркнув стратегическое преимущество России и планы на дальнейшее усиление военного потенциала, включая развитие ядерных сил и новых вооружений.

Microsoft прокачает рейтрейсинг на недорогих видеокартах Microsoft опубликовала патент, который представляет новый подход к сокращению объема памяти, требуемого для обработки графики с использованием технологии трассировки лучей. В патенте описывается конвейер трассировки лучей как ускоренная структура, которую можно…

В Rutube, Premier и Yappy начали тестировать создание ИИ-контента с минимальным участием человека Студия нейропродашкена D.lab, которую «Газпром-Медиа Холдинг» запустил в сентябре 2023 года, представила первые демо-образцы AIGC – мультимедийного контента разных форматов и жанров, произведенные искусственным интеллектом с минимальным участием челове...

BMW представила новую технологию дистанционного управления автомобилями К автотранспорту в необходимый момент сможет подключиться оператор и помочь припарковать автомобиль или направить его в нужное вам место

Олег Фоменко назначен генеральным директором Группы компаний Merlion Топ-менеджер сфокусируется на дальнейшем расширении дистрибьюторского портфеля, коммерческой эффективности деятельности компании и развитии новых направлений.

Новая технология увеличит пробег электромобилей до 1000 км без подзарядки Исследователи из Университета науки и технологий Южной Кореи представили инновацию, позволяющую интегрировать кремний в аккумуляторы, увеличивая емкость батарей. Это технологическое достижение может привести к тому, что электромобили смогут проехать до 1000 километров без по...

Intel расщедрилась. Функция APO, которая значительно повышает производительность в играх, станет доступна и на процессорах Intel снова выпускает почти то же, что и год назад. Представлена оставшаяся часть линейки процессоров Raptor Lake Refresh Компания Intel всё-таки решила расширить поддержку функции Application Optimization (APO) на более старые процессоры.  Изначально компания зая...

Yamaha представила крайне необычный концепт-мотоцикл Motoroid 2 Yamaha в новой версии концептуального мотоцикла Motoroid 2 представила свое видение будущего двухколесной техники. Футуристический концепт укомплектован поворотным маятником с возможностью самобалансировки и опирается в своей работе на ИИ.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Математики решили помочь бегунам оптимизировать результаты В новом исследовании, проведенном французскими и британскими учеными, была разработана точная математическая модель, анализирующая влияние физических и психических факторов на беговые показатели. Это открытие, опубликованное в журнале Frontiers in Sports and Active Living, м...

Гибридные транзисторы с использованием паутины и электронных компонентов: что это такое и как работает Учёные достаточно часто применяют инженерные решения, подсказанные природой, в науке и технике. Используются и вещества/соединения, создаваемые живыми организмами. Ну а сейчас команда учёных решила использовать биологический компонент в микроэлектронике. Речь идёт об использ...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Новый ИИ-инструмент позволяет проверять подлинность лекарства с помощью смартфона Технологии искусственного интеллекта помогут автоматически распознавать поддельные лекарства. Любой, кто покупает лекарства через интернет, может задаться вопросом, настоящие ли они, а ответ на этот вопрос может дать тест с использованием смартфона.

Звёзды, зажигающие надежды на жизнь: Открытие метана и полярных сияний у холодных коричневых карликов Астрономы, используя космический телескоп «Джеймс Уэбб», провели исследование 12 холодных коричневых карликов  — небольших и не очень ярких звёзд. Во время исследования два из них, W1935 и W2220, проявили удивительное сходство по составу, яркости ...

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Пакистан представил первый образец нового танка Haider Пакистан представил первый образец нового танка собственного производства Haider

Новая технология поможет изучить лунные землетрясения Исследование, опубликованное в журнале Earth and Planetary Science Letters, представило новые возможности изучения лунных землетрясений с использованием распределенного акустического датчика (DAS). Эта технология позволяет измерять землетрясения с высокой точностью, превосхо...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Ждём Cyberpunk 2077 на смартфонах? Qualcomm и Samsung собираются использовать технологию апскейла AMD FSR Технология суперсемплинга AMD FSR, как известно, является открытой и поддерживается условно любым GPU. Как сообщается, FSR в обозримом будущем может появиться и на смартфонах.  Инсайдер Revegnus говорит, что Samsung и Qualcomm решили сотрудничать с AMD для реализа...

Эстония решила построить промышленный комплекс по производству боеприпасов Власти прибалтийской республики решили помочь странам Европы пополнить арсеналы

Перезагрузка миссии: NASA меняет подход к доставке образцов с Марса NASA в поиске новых способов доставки образцов с Марса на Землю. Эти образцы, собранные марсоходом Perseverance в кратере Езеро, где миллиарды лет назад располагались озеро и дельта реки, представляют собой ценный научный материал. Извлечение и анализ образцов с Марса я...

Центробанк может снизить ключевую ставку в начале третьего квартала до 12% Регулятор сосредотачивает внимание на росте инвестиционной активности, увеличении экономического роста и замедлении инфляции. Некоторые члены совета высказывают мнение о необходимости снижения ключевой ставки к лету с целью дальнейшего развития экономики.

В СКФУ открыли центр аддитивных технологий и 3D-прототипирования Ученые и студенты Северо-Кавказского федерального университета смогут создавать прототипы и опытные образцы новых изделий, оценивая перспективы еще в процессе разработки.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Представлен жесткий диск Seagate Exos объемом 30 ТБ с технологией Mozaic 3+ Seagate, американская компания по хранению данных, представила новый жесткий диск Exos объемом 30 ТБ, использующий технологию Mozaic 3+.

Китай собирается сформировать фонд в $27 миллиардов для развития передовых технологий Пекин планирует нарастить усилия по развитию собственных технологий на фоне санкций Соединённых Штатов

Как человек покоряет Марс: хронология В настоящее время исследование Марса — одна из важнейших задач мировых космических агентств. Развитие технологий приводит к появлению новых методов изучения космического пространства. Это, в свою очередь, помогает решaть отдельные научные задачи. Космические аппараты собираю...

Лекарство от диабета помогло в борьбе с раком: снижение риска развития на 44% Исследование, проведенное в Западном резервном университете Кейза, выяснило, что обычное лекарство от диабета может быть ключом к снижению риска колоректального рака (КРР) на 44%.

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Не время вкладываться в литий? ИИ помог найти альтернативу для производства аккумуляторов В самом начале нового года стало известно, что Microsoft и Pacific Northwest National Laboratory смогли разработать новый материал, который теоретически может снизить объём используемого при создании аккумуляторов лития. Во всяком случае батарея на базе этого соединения уже ...

США готовы начать поставки Киеву дальнобойных версий ракет ATACMS уже на следующей неделе Зеленский считает, что подобные образцы помогут победить ВС РФ.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Сможет ли високосная минута решить проблему синхронизации часов? На протяжении всей истории мир полагался на астрономическое время. Восходит Солнце — наступает день, заходит — наступает ночь. День и ночь разделяли на часы, часы на минуты, минуты на секунды — и этого было достаточно. Были небольшие отклонения, вызванные замедлением враще...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Поможет ли отдых на море от последствий ковида? Отдых на море — захватывающее, веселое и приятное путешествие. Солнечные ванны, заплывы в море, вареная кукуруза, шашлыки и много других удовольствий — перечислять плюсы такого отпуска можно долго. Но может ли море лечить? Наши врачи решили это выяснить.

CycloTech рассказала о разработке eVTOL CruiseUp, оснащенном катушками для взлета После 15 лет разработки и тестирования прототипов, компания CycloTech представила свой первый концептуальный летательный аппарат

Издатель Grand Theft Auto 6 сократит около 600 сотрудников и откажется от нескольких проектов Take-Two Interactive, издатель серии Grand Theft Auto, решил сократить расходы, уволив 5% сотрудников и отменив проекты на общую сумму в 140 миллионов долларов для рационализации портфеля в ответ на меняющиеся рыночные условия. Представив финансовый план в SEC, компания ожид...

Тим Кук: Apple уже использует ИИ для сокращения выбросов углекислого газа Генеральный директор Apple, Тим Кук, заявил, что компания уже использует искусственный интеллект для достижения своих целей в области устойчивого развития и достижения статуса углеродно-нейтральной компании.

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Интеграция с ЕСИА на Node.js без CryptoPro [2024] Приветствую! Свою первую статью решил посвятить технической стороне интеграции с ЕСИА (Госуслугами). Считаю данный материал уникальным, поскольку пока разбирался в этой задаче не увидел ни одной статьи которая описывает интеграцию с ЕСИА без использования платной CryptoPro. ...

Чтобы геймеры могли лучше оценивать задержки. AMD представила метрику System Lag Компания AMD добавила в свой свежий драйвер новую метрику под названием System Lag.  System Lag призвана отображать задержку между генерацией кадров движком той или иной игры и их дальнейшим рендерингом видеокартой.  Пока что метрика работает только с адаптер...

Apple выиграла патентное сражение за Apple Watch: но есть нюанс Apple одержала крупную победу в судебной тяжбе с компанией AliveCor, специализирующейся на технологиях мониторинга сердечного ритма. AliveCor обвинила Apple в антиконкурентной практике в связи с изменениями, внесенными в технологию измерения частоты сердечных сокращений Appl...

Сокращение поставок привело к росту цен на SSD до 80% с июля прошлого года Меры по сокращению поставок твердотельных накопителей принесли свои плоды

В ЕС заканчиваются деньги, надвигается сокращение пенсий и социальных пособий В ЕС растут бюджетные дефициты, государственные долги увеличиваются, и правительства принимают меры по сокращению социальных расходов.

Каким будет новый кроссовер Mazda CX-5? Первые подробности В своем финансовом отчете за прошлый год Mazda приоткрыла завесу тайны над кроссовером Mazda CX-5 нового поколения. Как оказалось, компания действительно рассматривает выпуск такой машины, и она получит гибридную силовую установку. Изображение: Mazda Ввиду того, что на...

В Linux решили отказаться от дальнейшей поддержки видеокарт 3dfx Владельцам придется раскошелиться на апгрейд

NVIDIA сокращает поставки GeForce RTX 40, что может вызвать дефицит видеокарт и их подорожание Инсайдеры пишут, что под сокращение попала даже новая линейка GeForce RTX 40 Super

Руководители компаний Nvidia и TSMC встретились на Тайване Представители технологических гигантов обсудили дальнейшее развитие искусственного интеллекта

Искусный MataDoor: как устроен и как действует новый опасный бэкдор, атакующий российскую оборонку В 2022 году одна промышленная компания пригласила нас, специалистов PT Expert Security Center, расследовать киберинцидент. В ее скомпрометированной инфраструктуре мы обнаружили образцы не встречавшегося ранее вредоносного ПО. Дальнейший анализ показал, что это сложный модуль...

ASML предупреждает: если США продолжат усиливать санкции относительно Китая, это может плохо сказаться на бизнесе компании и её партнёрах Компания ASML, являющаяся крупнейшим в мире, а в некотором смысле и единственным, производителем современных систем для выпуска чипов, заявила, что дальнейшее ужесточение санкций со стороны США может сильно навредить компании.  Геополитическая напряженность может ...

Apple запатентовала 3D-дисплеи В последнее время индустрия технологий не перестаёт удивлять, и одним из таких удивительных нововведений стало объявление Apple о патентовании технологии 3D-дисплеев. Эта технология представляет собой новый подход к визуализации контента, который может изменить восприятие.

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Microsoft представит «локальный ИИ на ПК» и другие технологии в мае Стало известно, что уже в мае Microsoft представит новые ИИ-функции, расширяющие возможности виртуального Windows-помощника Copilot. Известно, что будут представлены улучшенные функции копирования и вставки на основе ИИ, а также «умная» технология AI Explorer.

Сокращения в NASA: космическое агентство уволит более 500 сотрудников NASA объявило о сокращении более 500 сотрудников из-за бюджетных ограничений и отсутствия необходимой поддержки от Конгресса США на 2024 финансовый год.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Большинство россиян положительно относятся к «технологиям будущего» ВЦИОМ: 52% россиян ожидают улучшения качества жизни в связи с развитием технологий

Новый ИИ из Японии поможет распознать язык куриц Исследователи из Токийского университета объявили о скором создании технологии интерпретации звуков, которые издают домашние курицы. Они надеются при помощи искусственного интеллекта понять, о чем «говорят» птицы, какие эмоции и потребности испытывают, что у них на уме. Это ...

Некоторые рассуждения по концептуальной сложности импортозамещения виртуализации, в части сети Столкнулся с проблемой в понимании ряда концепций у вновь приходящих коллег, особенно в части импортозамещения — решил написать статью. Я не уверен, что она нужна на Хабре, но я ее потом переработаю по результатам. Это попытк...

Инструмент разработчика GPT-4 можно легко использовать не по назначению, и это серьезная проблема Например, чат-бот можно обманом заставить предоставить информацию, которая может помочь потенциальным террористам, и решить такую проблему не так-то просто.

Китайский гигант по производству аккумуляторов CATL представил революционную литий-железо-фосфатную батарею с запасом хода более 1000 км Китайский гигант по производству аккумуляторов Contemporary Amperex Technology Co (CATL) произвёл фурор на 28 Пекинском автосалоне, представив новую литий-железо-фосфатную батарею (LFP) под названием Shenxing Plus. Эта разработка обещает обеспечить запас хода более 1000...

Google провела масштабные перестановки в отделах с целью дальнейшего развития ИИ — команде поддержки Python повезло меньше всех Последнюю попросту расформировали.

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Nvidia снова наступает на те же грабли? Представлена технология G-Sync Pulsar, но для её реализации мониторы должны иметь специальный чип Nvidia Компания Nvidia представила на CES 2024 новый этап развития технологии G-Sync — G-Sync Pulsar.   Похоже, что под новым именем в целом скрыты «старые» технологии: собственно, сама G-Sync, то есть переменная адаптивная кадровая частота монитора, и U...

Microsoft решила использовать FSR 2.2 в качестве базового алгоритма для DirectSR Microsoft представила API DirectSR (Direct Super Resolution), который стандартизирует технологии улучшения производительности в играх на основе суперразрешения

Nvidia достигает рубежа в 500 игр и приложений с технологиями RTX и DLSS Nvidia достигла важного рубежа в 500 игр и приложений с технологиями RTX и DLSS, демонстрируя значительный прогресс в развитии графических технологий и ИИ

Китай решил привлечь более $ 27 миллиардов для развития полупроводников Китай готовит более $ 27 миллиардов для своего крупнейшего фонда для полупроводников, ускоряя разработку передовых технологий в ответ на кампанию США по торможению его роста. Национальный фонд интегральных микросхем собирает капитал от местных правительств и государстве...

Шесть питательных продуктов, которые помогут при депрессии Плохое питание играет важную роль в развитии депрессии у человека. И чем более сбалансированным будет его рацион, тем лучше станет работать мозг. Исследования показывают, что диета, содержащая много фруктов и овощей, связана с меньшей вероятностью развития симптомов депресси...

АвтоВАЗ вовсю производит Lada Vesta с подушками безопасности от нового поставщика, но пока эти машины не отгружаются дилерам. Почему? Инсайдерский паблик раскрыл новые подробности о машинах Lada Vesta, оснащенных подушками безопасности. Оказывается, сейчас на машины устанавливают комплектующие от разных поставщиков – старого и нового. Машины с подушками безопасности старого образца могут отгружа...

Суперкомпьютер Fugaku объединяется с квантовой системой IBM для будущих вычислений Японский суперкомпьютер Fugaku на базе процессоров Arm объединится с новейшей квантовой системой IBM в рамках проекта по исследованию и разработке будущих вычислительных систем. Об этом объявила компания IBM, сообщив о соглашении с японским государственным научно-исслед...

[recovery mode] Тест на Муму Когда количество восторженных возгласов о возможностях AI из каждого утюга превысило мою возможность сопротивляться, я решил проверить, действительно ли AI может помочь мне? Читать далее

Отбой тревоги: новые правила экспортного контроля США не препятствуют поставкам GeForce RTX 4090D в Китай Вон оно что, Михайлович…

Ростех займется развитием радиофотоники в стране Новое технологическое направление будет развиваться на базе НИИ «Полюс» им. М. Ф. Стельмаха холдинга «Швабе» Госкорпорации Ростех. Научно-исследовательский институт одним из первых в России стал создавать сложные лазерные информационные системы. Решением Правительства РФ инс...

Google решилась на реструктуризацию: сокращения и «переезд» в Индию По сообщению CNBC, Google неожиданно сократила сотни сотрудников из своих «основных» команд. Эта реструктуризация включает в себя не только сокращение рабочих мест, но и перенос некоторых позиций в Индию и Мексику.

Франция объявила о сокращении бюджета на 10 миллиардов евро из-за замедления экономического роста Сокращения затронут программы экологического и энергетического перехода, секторы образования, юстиции и даже обороны.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Алексей Арефьев занял должность директора по развитию продукта и технологий онлайн-кинотеатра KION В KION Алексей займется развитием продукта и технологий, основной его фокус — рост ключевых финансовых показателей бизнес-юнита и счастья клиентов.

Newsweek: Новые "плащи-невидимки" спецназа РФ защищают от тепловизора и превосходят образцы ВСУ Кажется кому-то не надо было будить русского медведя, который может и в танки и в плащи-невидимки

Flugrevue: самый необычный самолет этого года «Партизан» будет летать автономно Российский «Партизан» — дальнейшее развитие самолета Ан-2. В ближайшем будущем самолет будет выполнять задания автономно.

В России киберклубов стало больше, чем кинотеатров Эксперты прогнозируют дальнейший рост популярности киберспорта в России на фоне увеличения числа геймеров и развития инфраструктуры.

В Nvidia опасаются, что успех компании приводит к тому, что некоторые сотрудники теряют хватку По словам инсайдеров, чем богаче становятся сотрудники компании, тем меньше они прикладывают усилий для дальнейшего развития

«Неконкурентоспособный» Volkswagen резко сокращает время разработки новых машин и количество тестовых прототипов Volkswagen хочет сэкономить дополнительные 10 миллиардов евро к 2026 году. Чтобы добиться этого, компания сокращает расходы везде, где это возможно. В рамках программы Accelerate Forward/ Road to 6.5 компания принимает меры на всех уровнях. Генеральный директор Томас Ше...

TSMC анонсировала 1,6-нм техпроцесс для чипов Эта технология обещает произвести революцию в области высокопроизводительных вычислений (HPC) и приложений для центров обработки данных, значительно повысив плотность и производительность чипов.

Параллельный импорт в РФ продлили на 2024 год с сокращением номенклатуры и перечня товаров Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что Правительство России решило продлить на 2024 год схему ввозу товаров по параллельному импорту. При этом он уточнил, что работа по корректировке схему идёт постоянно: предполагается постепенное сокращение но...

Индия решила вернуть с Луны на Землю образцы грунта Индия, стремясь укрепить свои позиции в космической гонке, объявила о планах по возвращению образцов лунного грунта на Землю.

Во Франции спрогнозировали развитие европейского рынка газа Генеральный директор французского газового оператора GRTgaz Сандрин Менье указала на причины сокращения потребления газа не только во Франции, но и в ЕС, дала прогноз по этому вопросу на ближайшие годы.

Концептуальная гонка art of rally раздаётся бесплатно в Epic Games Store Сегодня вечером будет уже новая игра — успейте забрать, если нужно.

Нотификации через RSocket в Альфа-Онлайн: от концепции до запуска в продакшн Однажды мы решали задачу разработки системы нотификаций для Альфа-Онлайн — мобильного банка в вебе. Нам было необходимо разработать инструмент по отправке сообщений (нотификаций) со стороны сервера в браузер в любой момент времени, пока клиент онлайн. На стороне Альфа-Онлайн...

Эксперты предсказали серьёзные трудности для майнеров в апреле Майнеры готовятся к очередному халвингу — уменьшению скорости генерирования новых единиц криптовалюты и параллельному сокращению размера вознаграждения майнерам. Также это процедура профилактики инфляции криптовалют за счёт сокращения выпуска новых монет.

Microsoft объявила о сокращении 1900 сотрудников своего игрового подразделения Microsoft увольняет колоссальное число сотрудников из своего игрового подразделения, но в то же время глава Xbox Фил Спенсер обещает устойчивое развитие и качественный игровой контент в будущем.

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

В России создали новые ингибиторы для нефтяной промышленности Ученые Института геологии и нефтегазовых технологий Казанского федерального университета разработали новые вещества, которые помогут предотвратить образование гидратных пробок при добыче нефти и газа. Эти ингибиторы, представленные в виде водорастворимых полиуретанов, считаю...

Ультразвук поможет отказаться от болезненных уколов при вакцинации Хотя эта технология не совсем готова к массовому применению, но в конечном итоге она может стать безболезненной альтернативой шприцам.

Ультразвук поможет отказаться от болезненных уколов при вакцинации Хотя эта технология не совсем готова к массовому применению, но в конечном итоге она может стать безболезненной альтернативой шприцам.

На фоне начала "расширенной наземной операции" Израиля в Газе выросли цены на нефть и золото Ждем дальнейшего развития событий и надеемся на здравомыслие Нетаньяху

Исследование показало, что такой мощный рост Nvidia произошел благодаря ускорителю H100 В индустрии искусственного интеллекта данный адаптер стал жизненно необходим для дальнейшего развития

В России представили компоненты, которые заменят импортные аналоги в приборах машинного зрения и радиолокации Входящий в состав Ростеха холдинг «Росэлектроника» продемонстрировал линейку диодов различных типов на выставке «Связь-2024». Как отметили в пресс-службе, образцы перспективной электронной компонентной базы разработаны в рамках программы импортоз...

Нет, это не первоапрельская шутка. Представлен уникальный шильдик Volkswagen для отпугивания животных Новый логотип Volkswagen оснащен встроенными направленными динамиками, которые излучают направленный звуковой луч, чтобы отпугнуть кенгуру. Volkswagen уверяет, что это не первоапрельская шутка. RooBadge («Roo» — это сокращенная форма слова kangaroo) бы...

Bootloader. Part 1. Нюансы Cortex-M, устройство памяти stm32 и преднастройка Решил начать цикл статей на тему бутлоадера для STM32. Возможно это послужит руководством для начинающих разработчиков, а может поможет самым настоящим демиургам в сфере embedded разработки. Читать далее

Spotify решил избавиться от получившего Пулитцеровскую премию подкаста В рамках массового сокращения 17% сотрудников Spotify ликвидирует свои известные подкасты, в том числе Heavyweight и Stolen. Сокращения происходят несмотря на прибыльность Spotify и недавний рост стоимости акций.

Бритьё ног помогло велосипедистам ехать быстрее Велосипедисты, участвующие в соревнованиях, улучшают своё снаряжение, питание, чтобы сократить время хотя бы на несколько секунд. Как показало новое исследование, в этом может помочь и удаление волос на ногах.

Efficient Computers привлекла $16 млн на разработку процессоров, превосходящих современные чипы Стартап Efficient Computers разработал концептуально новую архитектуру Fabric, которая значительно превосходит современные чипы по эффективности.

Лунная экономика: что это такое и как её намерены развивать Так называют концепцию развития экономической деятельности на Луне, тут всё просто. В её рамках рассматривается возможность использования ресурсов Луны для различных целей, таких как добыча полезных ископаемых, научные исследования, туризм, производство и т. д.В связи с...

«Закон Мура 2.0». Intel обещает чип с триллионом транзисторов к 2030 году Intel стремится к 2030 году создать чип с триллионом транзисторов. В соответствии с законом Мура, который разработали Fairchild Semiconductor и Intel, чипы должны удваивать количество транзисторов каждый год. Но со временем ситуация ухудшилась, и темпы удвоения количест...

Врач рассказал, как выбрать подушку, чтобы избавиться от боли в спине Боль в спине может быть очень неприятной и доставлять неудобства во время ночного сна. Решить проблему поможет выбор правильной подушки.

Apple увольняет более 600 инженеров после отмены разработки авто Согласно документам, поданным в Департамент развития занятости Калифорнии, Apple увольняет 614 рабочих, что является первым значительным сокращением рабочих мест в компании с момента пандемии. По законодательству Калифорнии, работодатели должны уведомить сотрудников и…

Нормализация событий информационной безопасности и как ее можно выполнять с помощью языка eXtraction and Processing Всем привет! На связи Михаил Максимов, я — ведущий эксперт департамента развития технологий в R&D Positive Technologies. За плечами у меня многолетний опыт по развитию экспертизы в нашей системе MaxPatrol SIEM и процессов вокруг нее. И сегодня я хочу поговорить про один ...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Российский ноутбук Ricor Compi 100: привет из 90-х Сегодня предлагаю совместить ностальгию и экскурс в историю с компьютерной некромантией. На днях мой коллега Михаил Синельников @m_sinelnikov поделился интересным образцом истории отечественного ИТ — Ricor Compi 100. Явно старый компьютер, больше похожий...

Бренд Aurus будет развивать «Газпром». За машинами и мотоциклами могут последовать бизнес-джеты и яхты Вице-премьер - глава Минпромторга РФ Денис Мантуров заявил, что его ведомство обсуждает с компанией «Газпром» развитие бренда Aurus. Так он ответил на вопрос о возможной покупке «Газпромом» доли в компании Aurus, которая занимается производством ...

НАТО планирует финансировать стартапы для развития технологий энергетической безопасности НАТО, в рамках укрепления энергетической безопасности, намерен финансировать разработчиков технологии наблюдения за морскими ветряными электростанциями и производителями микрогенераторов.

TSMC планирует разместить триллион транзисторов в одном корпусе к 2030 году В ходе недавней конференции IEDM компания TSMC представила дорожную карту технологического процесса для создания корпусов микросхем следующего поколения, содержащих более триллиона транзисторов, к 2030 году

В России построят Центр циклотронных технологий для развития ядерной медицины Госкорпорация «Росатом» построят Центр циклотронных технологий в Санкт-Петербурге. Благодаря которому будет сделан большой шаг вперед в развитии ядерной медицины, а также граждане будут обеспечены высокотехнологичной медицинской помощью, пишет Госкорпорация «Росатом».

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

Logitech анонсировала беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED с технологией KEYCONTROL Компания Logitech представила новую беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED, разработанную в сотрудничестве с профессиональными киберспортсменами. Уникальная технология KEYCONTROL позволяет настраивать тактильный отклик клавиш, а сверхбыстрая беспроводная связь о...

Девелопер нового поколения. Честный отзыв о строительной компании Родина Девелоперский холдинг «Группа Родина» предлагает концептуальный подход к освоению территорий в столице и области. Компания строит современные кластеры, в которых жилые корпуса сдаются вместе с масштабными инфраструктурными объектами образовательного, спортивного и культурног...

Эксперты объяснили, чем миграция может быть полезна для окружающей среды Жители Амстердама гордятся своим городом. При этом, как обнаружили учёные, об этой местности заботятся и мигранты. Та же история наблюдалась в Аккре (Гана). Исследования показывают, что в целом перемещение людей может помочь решить некоторые экологические проблемы.

Главы Китая и Белоруссии сделали важное заявление в рамках двусторонней встречи в Пекине Были рассмотрены вопросы о дальнейшем развитии китайско-белорусских отношений.

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

Perseverance отметил 1000 дней на Марсе Perseverance совершил посадку на Марс в феврале 2021 года. За 1000 дней исследований он собрал 23 образца из различных геологических областей кратера Езеро. Когда-то в этом районе находилось древнее озеро, и если где-то на Марсе можно обнаружить свидетельства древней (о...

Как мы внедряли каталог данных DataHub и искали компромисс между BI, DWH и ИБ Счастлив тот аналитик, у которого в компании есть дата-каталог — единая точка входа для поиска информации о данных невероятно экономит время, data lineage выстроен, а уровень заполненности документации на высоком уровне. Чтобы это были не только мечты, наша команда анал...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Opera представила опцию автоматического пересказа веб-страниц с помощью ИИ Браузер Opera обновил свои возможности, добавив новую функцию "Сводка". Она позволяет пользователям получать краткое содержание длинных текстовых статей на веб-страницах с помощью технологий искусственного интеллекта. Это должно помочь экономить время на поиск ключевой инфор...

NASA разработало электродинамический пылезащитный экран для защиты астронавтов и оборудования на Луне и Марсе Исследование Луны и других пыльных мест в космосе представляет собой большой вызов для учёных и инженеров. Поверхность Луны покрыта реголитом — мелкими каменными частицами, которые могут вызвать преждевременный износ оборудования и представлять опасность для здоро...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Apple увольняет 700 сотрудников и закрывает целые подразделения Согласно информации западных инсайдеров, более 700 сотрудников компании Apple могут лишиться работы — это напрямую связано с отказом от разработки нового электрического автомобиля и ряда технологий, которые должны были появиться в устройствах будущего. Например, компания App...

Представлена умная вытяжка Xiaomi Компания Xiaomi представила умную вытяжку Xiaomi Mijia Xiaobaijing, которая уже доступна на платформе JD.com по цене 400 долларов. Согласно официальному заявлению, в Xiaomi Mijia Xiaobaijing используются три запатентованные технологии: вытяжка использует аэродинамическ...

Android 15 позволит находить смартфон, даже когда он выключен Система Google Find My Device имеет свои ограничения, особенно когда устройство отключено. В Android 15 компания Google стремится решить эту проблему, представив новый API-интерфейс Powered Off Finding. Как пишет Android Police, данный API может помочь в обнаружении уст...

Минг-Чи Куо назвал Китай причиной сокращения поставок iPhone Знаменитый инсайдер, аналитик TF International Securities Минг-Чи Куо сообщил о сокращении производства iPhone на 10%-15% в 2024 году. И причина этого — падение интереса к продукции Apple на китайском рынке.

ИИ в Москве помог улучшить работу коммунальный служб В столичной системе видеонаблюдения внедрены новые технологии искусственного интеллекта (ИИ), которые помогают выявлять недостатки на дорогах и оперативно сообщать о них коммунальным службам. За последний сезон благодаря этим технологиям было исправлено почти 25 тысяч зимних...

Ученые создали материал для эффективного хранения водорода высокой плотности Корейские ученые утверждают, что создали материал, который хранит водород с двукратной плотностью по сравнению с его криогенной жидкой формой. Это может решить проблемы хранения вещества, которые тормозили развитие этого чистого топлива.

Grand Theft Auto III – новое измерение в мире гейминга Серия GTA пользовалась популярностью у игроков и критиков начиная с первой части, когда она представляла собой незамысловатый top–down шутер. Но именно переход в третье измерение подарил ей по-настоящему большую славу, дав толчок дальнейшему развитию и породив целую плеяду...

New Atlas: Самый большой в мире электрический мотоцикл имеет запас хода 720 км Felo — тайский бренд мотоциклов. Новый концептуальный электрический мотоцикл произвел фурор на выставке в Бангкоке.

Будущее микрочипов определит… способ нарезки кремния Нынешние процессоры работают в ориентации «001», когда транзисторы строятся на верхней грани кремниевой пластины. Но исследования IBM показывают, что переход к ориентации «110» — по сути, вертикальному срезу кристалла — может значительно повысить производительность транзисто...

NASA представила 3D-печать из алюминия: новый метод создания легких и прочных ракетных сопел Технология RAMFIRE от НАСА может изменить будущее аэрокосмической промышленности

Представлена ​​новая технология хранения данных в виде QR-кодов Новая технология может хранить данные 5000 лет

Как решить типичные проблемы Django нестандартным подходом: Fake Injection Давайте признаем, что развитие проектов в мире Django не всегда проходит гладко. Мы часто сталкиваемся с толстыми моделями и сериалайзерами, размытой бизнес-логикой и тестированием, которое больше напоминает головную боль, чем удовольствие. Меня зовут Павел Губарев, я back...

Google и Microsoft объединились для развития чистой электроэнергии Корпорации Nucor Corporation, Google и Microsoft Corporation объявили о сотрудничестве в рамках энергетической экосистемы для разработки новых бизнес-моделей и агрегации спроса на передовые технологии чистой энергии. Основное внимание будет уделено развитию первых коммерческ...

Биткоин готовится к ралли в 2024 году, считает генеральный директор Blockstream Биткоин (BTC) может достичь новых высот в 2024 году, поскольку следующее сокращение вознаграждения за майнинг вдвое приближается, сказал генеральный директор Blockstream Адам Бэк (Adam Back) в интервью Cointelegraph

LG создала дисплей, который скрывает изображение от водителя, и экран во всю переднюю панель, как у Mercedes-Benz EQS Южнокорейский технологический гигант LG посетит Международную выставку потребительской электроники CES в Лас-Вегасе, США, 9 января, представив серию новых информационно-развлекательных систем, в том числе автомобильный экран для переднего пассажира. Его особенностью ста...

Китайское инженерное чудо: построен новый подводный тоннель в заливе Чжаньцзян В Китае построен подводный тоннель в заливе Чжаньцзян, ключевой участок высокоскоростной железной дороги Гуанчжоу-Чжаньцзян. Тоннель, строительство которого длилось 33 месяца, может похвастаться длиной в 9640 метров, причем 7551 метр пройдены с помощью одной тоннелепрох...

Украинский эксперт поделился своим мнением на тему дальнейшего развития ситуации с FPV-дронами Беспилотников станет очень много и хватит чтобы устранить каждого солдата.

Западные аналитики принялись прогнозировать дальнейшие шаги российской армии на Авдеевском участке По мнению экспертов, у подразделений есть три возможных маршрута развития наступательной операции

Глава ИКИ РАН сообщил о возможности полётов российских ракет-носителей Ангара к Луне, Венере и Марсу По словам директора ИКИ РАН, «Ангара» поспособствует дальнейшему развитию отечественной космической программы.

Ученые представили самосборные нанолисты – будущее экологичных технологий Ученые из Национальной лаборатории имени Лоуренса Беркли представили необычайный прорыв в области нанотехнологий - самосборные нанолисты, которые обещают дать толчок развитию экологически чистых наноматериалов.

Система подавления турбулентности сделает полеты на 80% плавнее Австрийская компания Turbulence Solutions представила технологию активного противодействия турбулентности при полетах воздушных аппаратов. Мера более чем вынужденная, так как изменения климата уже привели к ускорениям ветров в атмосфере. Количество зон турбулентности и их ак...

Инженерный образец Snapdragon 8 Gen 4 c Adreno 830 был протестирован в игре Genshin Impact Блогер под ником faridofanani96 опубликовал в соцсети X неофициальные результаты тестирования инженерного образца Snapdragon 8 Gen 4

Большие данные МегаФона помогут открыть новые направления туризма в Приморье Аналитические сведения, собранные платформой «Цифровой туризм» переданы Туристско-информационному центру Приморья и Агентству по туризму Приморского края для дальнейшего использования в проектах.

Арктический НОЦ разработал стандарт аддитивных технологий для судостроительной отрасли Новый ГОСТ охватывает принципы сертификации в производстве судовых деталей методами 3D-печати. Согласно предлагаемому регламенту, утверждаться будет та или иная разработанная аддитивная технология для судостроения, а не отдельные материалы — это поможет ускорить внедрение.

Финал Всероссийского чемпионата “3D Профи 2024” На прошлых выходных состоялся финал Всероссийского чемпионата “3D Профи 2024”. С прошлого года аддитивные технологии — новое направление деятельности ресурсного центра профнавигации и развития компетенций АНО «Развитие человеческого капитала». Организатором соревнований выс...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

В NASA вскрыли контейнер с «космическим сокровищем» OSIRIS-REx: обнаружена черная пыль и мусор Американское управление по аэронавтике и исследованию космического пространства NASA объявило о том, что команда учёных уже вскрыла крышку контейнера для образцов, собранным космическим аппаратом OSIRIS-REx.  Пока учёные обнаружили лишь «черную пыль и мусор&...

Microsoft объявила о крупном сокращении в своем игровом подразделении Microsoft объявила о значительных увольнениях, затронувших около 1900 сотрудников ее игрового подразделения. Под сокращение попали такие подразделения, как Xbox, Activision Blizzard и ZeniMax (Bethesda). В результате этого объявления общее число увольнений в начале года сост...

Россия сократит выбросы углекислого газа на 80% к 2050 году На 28-й Конференции сторон Рамочной конвенции ООН об изменении климата (COP28) первый заместитель министра экономического развития России, Илья Торосов, объявил о стратегических планах страны по сокращению выбросов углекислого газа на 80% к 2050 году, пишет ТАСС.

Новые технологии Новые технологии - это то, без чего невозможно представить современный мир. Они окружают нас повсюду: в быту, на работе, в транспорте и даже в медицине. Благодаря новым технологиям мы можем быстро и удобно решать многие задачи, которые раньше казались невозможными.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Привыкаем к новому Haval. В России официально представлен новый логотип Haval У Haval в России новый логотип. Изображение представлено официально: в соответствии с ним дилеры сменят вывески на фасадах и таблички внутри автосалонов, и, естественно, новый логотип будет на машинах российской сборки. В Great Wall Motor рассказали, что новый логотип ...

Российские ученые разработали методику для отбора засухоустойчивых растений Ученые федерального научного центра агроэкологии Российской академии наук представили новую методику отбора древесных растений на ранней стадии их развития по устойчивости к засухе и соленым почвам. Разработанная технология позволяет определять потенциал засухоустойчивости и...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

НИЯУ МИФИ и 3DLAM создают совместную лабораторию аддитивных технологий На базе дизайн-центра микроэлектроники полного цикла Mephius Национального исследовательского ядерного университета «МИФИ» будет создана новая лаборатория, задачей которой станет освоение и развитие технологий 3D-моделирования и 3D-печати. Индустриальным партнером вуза выст...

Цены на видеокарты NVIDIA вырастут до 10% из-за сокращения поставок перед запуском новой серии Об этом сообщает тайваньское издание UDN. Скорее всего, сначала компания сосредоточится на флагманских моделях RTX 5090 и 5080. По мнению TweakTown, новый флагман может стоить 1999 долларов США, а субфлагманская модель — 1499 долларов.

МегаФон бесплатно увеличил на 50% скорость мобильного интернета партнерам Яндекс Про МегаФон решил предоставить партнерам Яндекс Про – водителям такси и курьерам – ускоренный мобильный интернет по технологии pre-5G на полтора месяца распродажного бума.   Это технологическое решение ускорит мобильный интернет на 50% и сделает быстрее раб...

Мировой лидер сетевого оборудования Cisco готовит тысячи своих сотрудников к сокращению По данным Reuters, несколько источников, предпочитающих остаться анонимными, сообщают о планах Cisco на сокращение тысяч сотрудников. Однако компания отказалась комментировать эту информацию.

Square Enix сосредоточит своё внимание на мультиплатформе Новый подход должен помочь компании с долгосрочным ростом в перспективе. Чтобы исправить ситуацию, Square Enix намерена взять курс на мультиплатформенную стратегию, предполагающую выпуск ААА-игр на ПК, консолях Sony, Nintendo и Microsoft. Судя по всему, компания в дальнейшем...

Polestar Phone официально представили В прошлом году китайский производитель электромобилей Nio представил свой собственный смартфон, и это, похоже, крайне заинтересовало конкурентов, которые тоже решили выпустить свои смартфоны под личным брендом. Сегодня, например, компания Polestar анонсировала свой первый см...

Татарстан примет лидер-форум «Аддитивные технологии — новая реальность» С 20 по 21 ноября 2023 года в казанском ИТ-парке имение Башира Рамеева состоится пятый лидер-форум «Аддитивные технологии — новая реальность». Организатором выступает Ассоциация развития аддитивных технологий (АРАТ) при поддержке правительства Республики Татарстан и государс...

Ученые считают, что изучение суставов скатов и акул поможет понять природу болезней суставов у людей Согласно новому исследованию, суставы, которыми мы обладаем, возникли у гораздо более древних хрящевых морских существ, чем считалось ранее. Это исследование может помочь в лечении заболеваний опорно-двигательного аппарата.

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

SafeRAT: так ли он безопасен? Большой хабросалют всем! С вами на связи я, Ксения Наумова, и мой коллега Антон Белоусов. Мы работаем в компании Positive Technologies, в отделе обнаружения вредоносного ПО. В декабре 2023 года, в ходе постоянного отслеживания новых угроз ИБ, изучая в PT Sandbox и других пес...

В текущем квартале NVIDIA может выручить до $25 млрд Точка после этого сокращения не ставится.

США «сделают всё возможное», чтобы Китай не получил доступ к новейшим микросхемам и технологиям США приложат все усилия, чтобы помешать Китаю получить доступ к американским технологиям в области полупроводников и искусственного интеллекта (ИИ), о чем пишет Bloomberg. «Мы не можем позволить себе, чтобы Китай пользовался нашими самыми передовыми техн...

Intel анонсировала новую компоновку транзисторов Во время 69-й ежегодной конференции IEEE International Electron Devices Meeting (IEDM) компания Intel продемонстрировала некоторые из своих последних достижений в области разработки и производства транзисторов. Первым на очереди является 3D-интеграция транзисторов. Компания…

МЭА ожидает увеличения спроса на электроэнергию в два раза на фоне развития ИИ-технологий По данным Международного энергетического агентства (МЭА), стремительное развитие центров обработки данных для функционирования искусственного интеллекта (ИИ) и криптовалют, увеличит вдвое спрос на электроэнергию для них с 2024 по 2026 год

Новая технология охлаждения улучшает производительность MacBook Air Компания Frore Systems из Сан-Хосе представила инновационную технологию охлаждения AirJet Mini, которая значительно увеличивает производительность MacBook Air с чипом M2

Американский дрон Mojave с миниганами поражает цели со скоростью 6000 выстрелов в минуту БПЛА Mojave компании General Atomics, вооруженный шестиствольными миниганами Dillon Aero DAP-6, успешно поразил несколько целей в ходе демонстрации. Компания имеет планы по дальнейшему развитию этой модернизации для предложения новой вооруженной разведывательной платформы ар...

Hisense Laser Mini Projector C1 — новый эталон домашнего кинотеатра Создать изображение с диагональю более 70 дюймов без ущерба для интерьера — задача, с которой пока может справиться только проектор. Однако даже традиционные модели не являются универсальным решением. Проблемы с установкой, избыточное тепло, артефакты и ограниченный срок слу...

Samsung представила память LPCAMM, которая «изменит рынок». Модуль LPCAMM очень компактный Модули оперативной памяти концептуально не менялись много лет, но в прошлом году на рынок пришёл формат CAMM, а теперь Samsung представила первые в отрасли модули LPCAMM.  Как говорит компания в своём пресс-релизе, LPCAMM на базе LPDDR станет лидером на рынке моду...

В России создали технологию защиты самолетов от обледенения В России создали новую технологию для улучшения водоотталкивающих свойств обшивки самолетов, которая предполагает создание в приповерхностном слое микрорельефа, не позволяющим каплям закрепляться на обшивке. Ученые из Московского авиационного института (МАИ) и Института...

В США появится Центр безопасности искусственного интеллекта Это новая структура для надзора за развитием технологий в области ИИ.

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

[Перевод] Впервые измерены звёздные ветры, исходящие от других звёзд Международная исследовательская группа под руководством Венского университета совершила большой прорыв. В исследовании, недавно опубликованном в журнале Nature Astronomy, участники группы описывают, как провели первые прямые измерения звёздного ветра в трёх звёздных системах...

Улучшаем технику серфинга с помощью компьютерного зрения Привет, Хабр! На связи Рустем, и я — серфер. Я катаюсь на Тенерифе и углубленно изучаю мир серфинга. Моя страсть к волнам привела меня к исследованию того, как технологии могут помочь нам стать лучше в серфинге. Именно поэтому я решил создать проект, использующий компьютерно...

Infinix представила новую технологию охлаждения CoolMax для игровых смартфонов Технология способна охладить чипсет практически на 10 градусов по Цельсию.

MAINGEAR представила на выставке CES 2024 новую технологию управления кабелями MG-RC MAINGEAR переосмысливает эстетику и производительность игровых ПК с помощью технологии управления кабелями MG-RC

Космическая доставка на новом уровне: NASA доставило 70 граммов материала с астероида Bennu в миссии OSIRIS-REx Недавно NASA успешно доставило первый образец с астероида Bennu в рамках миссии OSIRIS-REx. 24 сентября капсула успешно приземлилась на Землю после семилетнего путешествия. Изначально целью миссии было собрать минимум 60 граммов материала, однако сейчас агентство объяви...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

TSMC нацелилась на чипы с триллионом транзисторов TSMC готовит монолитные чипы с 200 млрд транзисторов по 1 нм

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Американский стартап придумал инструмент для поиска ошибок генеративного ИИ Американский стартап Patronus AI представил инструмент SimpleSafetyTests для проверки ответов генеративного ИИ (genAI), которые от технологий получают пользователи. Потому что, учитывая темпы развития ИИ, нельзя не столкнуться с некорректными ответами, ошибками и даже фейкам...

Требования для Windows 11 обновили. ОС не запускается на машинах без POPCNT Хотя исходно Windows 11 имела весьма серьезные системные требования, на сегодняшний день пользователи научились успешно обходить почти все сложности, и практически ничто не препятствовало запуску Windows 11 на старом железе. Однако теперь ситуация может измениться из-за мало...

Блокчейн помог смоделировать более 4 млрд реакций, чтобы понять появление жизни Группа химиков использовала возможности технологии блокчейн для создания крупнейшей в истории сети химических реакций, которая может пролить свет на происхождение жизни на Земле.

Компания NVIDIA анонсировала чип Blackwell Согласно пресс-релизу NVIDIA, новые чипы Blackwell предлагают значительное улучшение производительности и энергоэффективности. Чипы Blackwell в 7-30 раз быстрее предшественников H100 и потребляют в 25 раз меньше энергии. Это достижение стало возможным благодаря использованию...

Minisforum выпустила карманный ПК S100 с поддержкой PoE На CES 2024 компания Minisforum представила карманный компьютер S100, который может функционировать без подключения к электросети благодаря технологии Power over Ethernet. Устройство уже доступно для покупки, обещая новые возможности для использования в различных сферах.

IBM представила новые версии технологии FlashCore Module и Storage Defender Технология FlashCore Module использует искусственный интеллект для мониторинга и обнаружения аномалий, связанных с кибератаками, в реальном времени

Microsoft запатентовала технологию быстрого набора текста глазами Если эта технология когда-нибудь станет настоящим продуктом, она сможет помочь людям эффективно использовать свои компьютеры, даже не пошевелив пальцем.

В Звездном городке показали робота, который будет возводить лунную станцию В рамках конференции, прошедшей в Звездном городке, продемонстрирован образец робота, который поможет российским космонавтам и их коллегам из других стран осваивать Луну. Работы по этому направлению ведут специалисты «Андроидной техники» при поддержке фонда «Alpha Robotics V...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Массовые увольнения в Spotify: пострадали 17% сотрудников, гендиректор ссылается на большие расходы Гигант музыкальной индустрии Spotify меняет свою структуру, объявляя о сокращении 17% персонала. Генеральный директор Дэниел Эк объясняет сокращение рабочих мест, которое затронет более 1500 сотрудников, проблемами замедляющейся экономики и растущими расходами.

Обнаружен подпольный сервис для сокращения URL Группировка Prolific Puma на протяжении как минимум четырех последних лет предоставляет услугу по сокращению ссылок другим злоумышленникам. Только за последние полтора года хакеры зарегистрировали до 75 000 уникальных доменных имен, в основном злоупотребляя API регистратора ...

Сокращения в Cisco коснулись и директоров Сетевой гигант Cisco ощущает на себе последствия экономического спада и проводит значительную реструктуризацию, включая сокращение штата. Это коснулось тысяч сотрудников по всей компании, но не только рядовые работники чувствуют на себе удар — даже руководство компании не ос...

Управление телевизором по принципу лазерной указки. Представлен первый в своём роде пульт дистанционного управления Huawei На презентации новых продуктов Huawei, состоявшейся 26 декабря, показали пульт дистанционного управления Huawei Lingxi Pointing Remote Control, который уже появился в магазинах Huawei и JD.com. Согласно официальной информации, пульт дистанционного управления Lingxi от ...

Что покажут на MWC 2024. Собрали все самое интересное Mobile World Congress — это ежегодная выставка, которая проходит в Барселоне, Испания, и посвящена мобильным устройствам. После отмены в 2020 году и небольшого сокращения масштабов мероприятия в 2021 году в 2022 году мы получили полноценную выставку, а MWC 2023 стал еще бол...

Учёные NASA четыре месяца пытались открыть крышку модуля с образцами астероида Bennu. Им это наконец-то удалось Команда инженеров NASA спустя четыре месяца наконец-то смогла открыть крышку капсулы, в которой находятся образцы астероида Bennu.  Напомним, на пути учёных стали два болта из 35, которые крепили крышку. Из-за очень специфических условий — капсула находится ...

Следующий iPad Pro может поддерживать MagSafe-зарядку По информации различных источников в цепочке поставщиков, в следующем году Apple планирует выпустить iPad Pro c поддержкой зарядки MagSafe. По мнению инсайдеров, для этого компания собирается сделать заднюю панель нового iPad Pro стеклянной. В отличие от алюминиевого корпуса...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Разработчик Denuvo выпустит технологию TraceMark, которая может покончить с пиратством на ПК Технология присваивает игровым файлам невидимые водяные знаки, что приведёт к ещё большей защищённости новых игр

Когда был большой взрыв в виртуальной реальности? История развития VR-технологий Сегодня шлемы Oculus Rift, Kinect, гарнитуры PS-VR и тактильные жилеты не кажутся чем-то фантастическим. Крупные IT-компании вроде Google и Apple уже давно создают устройства дополненной и виртуальной реальности. Почти любой человек может запустить любимую игру и погрузит...

Upgradeable smart contracts. 5 способов обновить код смарт-контрактов на все случаи жизни Рассказываем, зачем нужны обновляемые смарт-контракты, какие методы обновления существуют, а также делимся примерами кода реализации. Это может оказаться must have технологией на старте проекта, которая поможет спасти ваш код от уязвимостей и критических ошибок. Читать далее

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Так будет выглядеть недорогой iPhone SE 4 Apple в очередной раз оказалась в центре внимания. На этот раз из-за долгожданной модели iPhone SE 4, которая хоть и остается покрытой завесой тайны со стороны компании, уже нашла отражение в новых концептуальных рендерах.

ИИ поможет классифицировать иммунный фенотип ракового образца Специалисты из компании Sanofi разработали две модели машинного обучения для классификации иммунного фенотипа опухолевого образца у пациентов с немелкоклеточным раком легкого (НМРЛ).

Искусственный интеллект будет анализировать образцы с Марса на наличие признаков жизни Новая модель ИИ не ищет известные органические соединения, поскольку биология инопланетян может отличаться от земной. Вместо этого ИИ ищет закономерности в распределении и разнообразии молекул.

NI: Украина не получит истребители F-35 из-за угрозы хищения технологий российской разведкой Украденные образцы американских вооружений на 1 млрд. долларов на Украине не дадут соврать

Резиденты ТОР «Приморье» получили цифровой ресурс для развития бизнеса Интернетизация промышленных предприятий поможет увеличить их производственный потенциал, а также даст импульс для привлечения в регион новых инвестиционных проектов.

Путин поручил существенно нарастить мощности российских суперкомпьютеров Владимир Путин выступил сегодня на пленарном заседании конференции AI Journey и в рамках своего выступления заявил о необходимости существенно нарастить мощности российских суперкомпьютеров. «Обращаю внимание правительства и компаний альянса. Действующие мощности...

В Windows появится свой аналог DLSS и FSR. Технологию Microsoft DirectSR представят уже через месяц Технология Microsoft DirectSR (Super Resolution) будет представлена на мероприятии GDC 2024, которое стартует через месяц.  Напомним, о технологии стало известно пару недель назад, а теперь информация появилась непосредственно на сайте GDC. DirectSR представляет с...

Варп-двигатель в Star Trek Концепция сверхсветового двигателя, использующая артефакт общей теории относительности - складку из пустого пространства, как ни удивительно, создана впервые не учеными, а шоуранерами телесериала Star Trek. Космос - последний рубеж. Он отделен от нас утилитарным проблем...

Искусственный интеллект поможет в анализе частиц в ускорителях Ученые из Института ядерной физики Польской академии наук представили новый метод анализа частиц в ускорителях, используя искусственный интеллект. Этот метод позволит быстро восстанавливать треки частиц и может быть использован в эксперименте MUonE для поиска новой физики.

Honda поменяла логотип впервые за 24 года На выставке CES 2024 в этом году Honda показала серию электромобилей с обновленным логотипом H. Позже Honda наконец объявила об изменении логотипа, отказавшись от металлического логотипа и выбрав более плоскую и простую версию символа. Фактически, эта новая версия восхо...

Army Recognition: В Пакистане показали первый образец нового танка Haider Отмечается, что новый танк построен на основе китайского VT-4 — экспортной версии танка Type 99G. Машина с экипажем их трех человек получила гладкоствольную пушку калибра 125 миллиметров, которая может использовать бронебойные, кумулятивные и осколочные снаряды, а также прот...

В Одноклассниках появились новые инструменты для развития групп Предприниматели смогут привлекать больше потенциальных клиентов, а авторы и медиа — новых подписчиков на свои страницы в Одноклассниках. Настраивать и запускать кампании поможет VK Реклама — единая платформа для продвижения на проектах VK и в рекламной сети.

Как выглядят электронные чернила при 230-кратном увеличении Энтузиаст Зак Нельсон из JerryRigEverything был впечатлен возможностями новых E-ink дисплеев и решил поближе изучить их строение. Его не удовлетворяли скупые описания технологий на официальных сайтах, поэтому он вооружился цифровым видеомикроскопом с увеличением 230x от Dino...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Разговор с директором по развитию бизнеса Solar NGFW Андреем Щербаковым об NGFW и использовании open source в нём Тема NGFW в связи с уходом западных компаний всё чаще начала всплывать в сфере российской ИБ. То тут, то там слышны новости, что у разных фирм появились свои NGFW‑решения. Одно из таких демонстрировалось на форуме «Кибербезопасность в ...

Великобритания не может помочь Украине в перехвате дронов, как помогла Израилю Такой шаг приведёт к эскалации конфликта в Европе.

Вот бы такую память для GeForce RTX 5090. Samsung изготовила первый 16-слойный стек памяти HBM Компания Samsung изготовила первый образец 16-слойного стека памяти HBM.   Образец работал нормально, но пока что компания не собирается запускать такие чипы в массовое производство. Судя по всему, массовыми такие стеки станут уже с выходом HBM4, а до этого ещё ми...

Новые изображения спуска к Земле капсулы OSIRIS-REx с образцами астероида Бенну Изображения, опубликованные 3 октября, показывают, как 24 сентября капсула с образцами отделяется от OSIRIS-REx утром и направляется к Земле.  «В верхней части кадра видно Солнце, а по левому краю изображения можно увидеть серповидную Землю», — на...

Знакомые запахи помогли бороться с депрессией Исследователи из Медицинской школы Питтсбургского университета обнаружили новый подход, который потенциально может помочь в избавлении от депрессии: знакомые запахи. Их исследование, опубликованное в журнале JAMA Network Open, предполагает, что определенные ароматы могут пом...

Исследователи KAIST представили натрий-ионную батарею, способную заряжаться за считанные секунды Исследователи из Корейского института передовых технологий (KAIST) представили новую натрий-ионную батарею, которая может зарядиться за несколько секунд, что значительно расширяет ее применение, включая электромобили и портативные электронные устройства.

Индия и США обсудили укрепление стратегического партнерства в сфере обороны Министр обороны Индии Раджнатх Сингх и глава Пентагона Ллойд Остин провели встречу, в ходе которой стороны обсудили дальнейшее развитие военно-технического сотрудничества между двумя странами.

CD Projekt RED о сиквеле Cyberpunk 2077: его разработка находится на стадии «концептуального дизайна» Что бы это ни значило.

NVIDIA представила технологию G-SYNC Pulsar Компания NVIDIA представила новое поколение технологии переменной частоты обновления Variable Refresh Rate (VRR), которая значительно увеличивает резкость изображения в динамических сценах благодаря мерцанию с переменной частотой.

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

CGTN: Как Китай работает над построением глобального сообщества совместного будущего От Фиджи и Лесото до Руанды китайская технология выращивания съедобных грибов на основе компоста из травы цзюньцао (Juncao) вместо древесины помогла решить важную проблему, ведь раньше производство грибной продукции было связано с вырубкой деревьев. В Лесото фермеры прозвали...

Япония запретит Apple и Google блокировать сторонние приложения в смартфонах Японское правительство одобрило законопроект, который может привести к штрафам для крупных технологических компаний, таких как Google и Apple, если они будут препятствовать доступу к сторонним приложениям для смартфонов и платежным системам.

12/256 ГБ памяти, 6000 мА•ч и никакого AMOLED при цене всего 200 долларов. Представлен Vivo Y78T Компания Vivo представила смартфон Y78T, который сочетает и современную платформу Qualcomm, и большой объём памяти, и огромный аккумулятор, и даже невысокую цену.  Итак, в основе новинки лежит SoC Snapdragon 6 Gen 1. Это решение среднего уровня, зато производящеес...

ASUS добавила профиль со стандартными настройками питания процессора в новый BIOS плат Intel Z790 Это должно помочь решить проблемы со стабильностью у некоторых пользователей, если они были связаны с неправильными настройками питания процессора.

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

Эксперты Space.com рассказали, как искусственный интеллект может помочь исследованиям в космосе Эксперты высказались тему, как ИИ поможет в космических исследованиях. Большинство из них настроены скептически.

МегаФон протестировал новейшую российскую мобильную операционную систему МегаФон предоставил «Лаборатории Касперского» данные о результатах тестов операционной системы KasperskyOS for Mobile. Проверка велась на выделенной сети, аналогичной системе коммерческого оператора. Тестирование позволило собрать необходимую информацию для дальнейшей разраб...

Нестинг в 3D-печати     3D-печать является одной из самых быстро развивающихся технологий в сфере производства. Регулярно публикуются статьи о новых методах и технологиях печати, которые позволяют внедрять аддитивное производство во всё новые сферы. Кроме того 3D-печать становится более доступн...

Вы не знали, а Rolls-Royce создает ядерные реакторы: об одном из них нам рассказали Rolls-Royce представила концептуальную модель космического микрореактора, показанную на Космической конференции Великобритании. Компания, в рамках программы, финансируемой Британским космическим агентством в размере 2,9 миллиона фунтов стерлингов, разрабатывает передово...

Lenovo готовит ноутбук с прозрачным экраном и сенсорной панелью вместо клавиатуры На MWC 2024 Lenovo планирует представить полностью прозрачный ноутбук, тизер и просочившиеся рендеры подтверждают это. Теперь инсайдер Эван Бласс опубликовал изображения такого устройства. На одном из рендеров демонстрируются два концептуальных ноутбука, причем сквозь одно…

Новая математическая модель поможет в лечении болезни Альцгеймера Исследователи из медицинской школы Дьюка и Университета штата Пенсильвания разработали новую математическую модель, которая может помочь в прогнозировании и лечении болезни Альцгеймера. Модель, названная «Каскад биомаркеров болезни Альцгеймера» (ADBC), была разработана на ос...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Apple представит iOS 18 с поддержкой ИИ на WWDC 2024 На всемирной конференции разработчиков WWDC 2024 будет представлено самое крупное обновление в истории Apple — iOS 18 с поддержкой ИИ. Как заявляет журналист Марк Гурман из Bloomberg, всё дело именно в искусственном интеллекте, который предоставит совершенно новые функции Si...

Первый Всероссийский Чемпионат по аддитивным технологиям «3D Профи 2024» Вот уже второй год подряд проводится Чемпионат “3D Профи”, участие в котором могут принять ребята от 14 до 23 лет и разработать свой первый аддитивный проект.В прошлом году от АНО "Развитие человеческого капитала" и Департамента предпринимательства и инновационного развития ...

Tesla сократит более 10% сотрудников Tesla объявила о сокращении «более 10%» своего мирового персонала во внутреннем письме для всех сотрудников. Это решение было принято после периода подготовки к сокращениям, включавшего выявление ключевых членов команд и приостановку некоторых бонусных программ, а также сокр...

CGTN: Связи между народами Китая и Франции спустя 60 лет дипломатических отношений входят в новую эпоху Президент Китая Си Цзиньпин (Xi Jinping) обменялся поздравлениями со своим французским коллегой Эммануэлем Макроном (Emmanuel Macron) по случаю 60-й годовщины установления дипломатических отношений между странами. Придавая особое значение развитию двусторонних связей, Си зая...

Более 2,9 млн школьников познакомились с технологиями мессенджеров на «Уроке Цифры» от VK «Урок Цифры» от VK традиционно объединил школьников, их родителей и учителей со всей страны. Более 2,9 млн учащихся 1-11 классов узнали о технологиях мессенджеров на примере учебного профиля Сферум в VK Мессенджере, а также о востребованных профессиях, которые отвечают за со...

TSMC создаст чипы с триллионом транзисторов к 2030 году По крайней именно такие планы на данный момент вынашивает TSMC

В России создадут фабрику больших данных на базе искусственного интеллекта Министр цифрового развития Российской Федерации, Максут Шадаев, объявил о планах создания государственной фабрики больших данных, которая будет использовать технологии искусственного интеллекта. Он представил идею на форуме Finopolis, пишет ТАСС.

ТУСУР создал опытный образец геномного принтера Томский государственный университет систем управления и радиоэлектроники завершил работы по созданию опытного образца первого отечественного геномного принтера, обеспечивающего массовый автоматический синтез олигонуклеотидов с высокой плотностью.

Как определить рак за несколько минут — ученые нашли ответ Ученые разработали искусственный интеллект. который определяет рак за 5 минут до появления первых симптомов болезни Одна из причин, по которой онкологические заболевания приводят к летальному исходу, заключается в том, что чаще всего они диагностируются на поздних стадиях. П...

AMD может доверить выпуск 4-нм процессоров компании Samsung Образцы уже существуют, а серийное производство стартует в следующем году.

В Intel XTU появится помощник по разгону на базе искусственного интеллекта AI Assist Функция сделает разгон доступнее для неподготовленных пользователей, а профессионалам поможет с поиском отправной точки для дальнейшей ручной настройки.

Мосэнергосбыт улучшает клиентский опыт с помощью речевых технологий группы ЦРТ Технологии синтеза и распознавания речи группы компаний ЦРТ помогли заговорить роботам АО «Мосэнергосбыт» — одной из крупнейших энергосбытовых компаний России. Мосэнергосбыт внедрил интеллектуальных ассистентов в каналы коммуникаций с потребителями.

Микроядерные операционные системы, включая KasperskyOS, появятся на обычных потребительских устройствах Микроядерные операционные системы (ОС), к которым относится KasperskyOS, могут появиться на обычных устройствах пользователей в ближайшие 3-4 года, о чем заявил глава отдела бизнеса по развитию KasperskyOS Дмитрий Лукиян. В микроядре ОС большая часть функциональности вы...

Марк Цукерберг объяснил массовые увольнения в IT-индустрии экономией бюджета компаний Массовые увольнения в IT-индустрии наблюдаются уже несколько месяцев. Марк Цукерберг не считает, что отношение к этому имеет развитие новых технологий искусственного интеллекта.

Аналитики считают, что в 2024 году рынок компьютерного железа начнёт восстанавливаться Основными факторами для этого станут развитие технологии искусственного интеллекта и выход новых версий Windows

Новые функции Galaxy Ring помогут владельцам следить за питанием Samsung снова набирает обороты в мире технологий благодаря своей последней новинке - Galaxy Ring.

На гребне цифровизации: конкурс «Ты в игре» открывает новые технологии для ЗОЖ Спортивная индустрия и рынок спортивных технологий в России переживает трансформацию. Уже на протяжении нескольких лет растет потребность российского спорта в технологических и цифровых решениях, что создает потенциал развития отечественного рынка высокотехнологичных проекто...

NASA разрешило американским учёным получить доступ к лунным образцам, собранным в китайской миссии «Чанъэ-5» NASA разрешило исследователям, финансируемым агентством, подавать заявки на доступ к лунным образцам Китая, собранным в рамках миссии «Чанъэ-5». Возвращение миссии Китая на Землю в декабре 2020 года с 1731 граммом лунных образцов, собранных в районе Океана Б...

Китайский зонд «Чанъэ-6» отправился на обратную сторону Луны за образцами грунта 3 мая 2024 года Китай успешно запустил лунный зонд «Чанъэ-6», который совершит посадку на обратной стороне Луны, соберёт образцы грунта и доставит их на Землю в течение двух месяцев.

Представлен кроссовер Toyota Venza 2024. Теперь это исключительно гибрид Toyota представила кроссовер Venza образца 2024 модельного года. Автомобиль оснащается исключительно гибридной силовой установкой мощностью 219 л.с. на базе 2,5-литрового бензинового мотора, а еще у него появилась новая версия Nightshade – прямо как у недавно обно...

NASA выбрало для грантовой поддержки концепт крылатого наследника Ingenuity, который откроет новую эру исследований Марса С 1998 года программа NASA Innovative Advanced Concepts (NASA NIAC) поощряет инновации, принимая новаторские предложения от научного сообщества. Выбранные участники получают финансирование для исследования технологий на ранних стадиях, которые могут быть применены в нау...

Астероид Бенну расскажет свою историю на выставке в Смитсоновском институте 3 ноября национальный музей естественной истории представит выставку, которая станет знаковым событием для научного и публичного сообщества. Центральным предметом выставки будет образец астероида Бенну, который только что оказался на Земле как итог миссии OSIRIS-Rex. Ре...

Полигон для творчества за 1500 р. Ч3 Экран, Малина, OpenOCD и бусики Приветствую вас, друзья! Когда под рукой хороший тренажёр, так и тянет опробовать на нём новые для себя технологии и инструменты, сделать какой-то интересный проект. Или получше познакомиться с ним, чтобы в дальнейшем использовать как платформу для обкатывания новых идей....

Китай пообещал расширить орбитальную станцию Tiangong до шести модулей Китай анонсировал амбициозные планы по дальнейшему развитию орбитальной станции Tiangong, планируя увеличить количество модулей в ближайшие годы

Новое решение Apple поможет устранить "зеленые точки" на фото с iPhone 16 Pro Новая технология Apple избавит iPhone 16 Pro от "зеленых точек" - проблемы, знакомой многим любителям мобильной фотографии.

Samsung, Vodafone и AMD демонстрируют первую в отрасли технологию Open RAN Samsung Electronics, совместно с Vodafone и AMD, анонсировали успешное внедрение первой в индустрии технологии Open RAN, демонстрируя виртуализированную радиодоступную сеть на процессорах AMD и подтверждая лидерство компаний в развитии экосистемы Open RAN.

Каждый 25-й человек является носителем связанных с короткой жизнью генов Новое исследование показало, что каждый 25-й человек может иметь генетические вариации, связанные с сокращением продолжительности жизни. Исследование, проведенное в Исландии, позволило выявить эти потенциально опасные гены, причем наибольший риск представляют варианты, связа...

NVIDIA уже может готовиться к сокращению поставок GeForce RTX 40, освобождая место следующей линейке По слухам, партнёры компании получили соответствующие уведомления.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Сила бананов: в Эквадоре решили всё-таки не поставлять российскую военную технику в США для её дальнейшей передачи Украине Схема элементарная, раскушена на раз-два, и решилась обнаружением чего-то нехорошего в эквадорских бананах, поставляемых в Россию.

Генсек ООН призывает Россию и США вернуться к переговорам по ДСНВ Генеральный секретарь ООН Антонио Гутерриш выступил с призывом к России и США как можно скорее возобновить переговоры по продлению или замене Договора о сокращении стратегических наступательных вооружений (ДСНВ-3), который истекает в 2026 году. Он предупредил, что отсутствие...

Новые правила ЕС обязывают производителей устройств не препятствовать ремонту сторонними лицами Кроме того, гарантийный срок ремонта будет составлять не менее 12 месяцев.

Доказано: тренировки 2−3 раза в неделю связаны с более низким риском бессонницы Результаты нового исследования, опубликованные в BMJ Open, показали следующее. Если постоянно заниматься 2−3 раза в неделю физической активностью, то это поможет решить некоторые проблемы со сном.

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Apple против Samsung: чей генеративный ИИ окажется лучше Apple удваивает свои усилия по развитию генеративного искусственного интеллекта, а ее генеральный директор Тим Кук подтвердил значительные инвестиции в эту технологию, дебют которой ожидается в iOS 18. Этот шаг направлен на конкуренцию с гигантами индустрии и дальнейшую инте...

Стилистические правила умерли, да здравствуют стилистические правила Под конец прошлого года, по ряду причин, ESLint отказались от дальнейшей поддержки и развития стилистических правил. А тема, как по мне, несправедливо осталась в тени. Давайте разберемся, почему так произошло и какие изменения нас ждут на поприще статического анализа и форма...

Миссия Apollo продолжает дарить сюрпризы: учёные обнаружили водород в образцах лунной породы Учёные обнаружили  водород в образцах лунной породы, доставленных миссией «Аполлон». Это позволяет предположить, что астронавты в будущем смогут использовать лунную воду для обеспечения жизнедеятельности и в качестве ракетного топлива. После анализа лун...

Отчет правительства США: развитие ИИ может повлечь за собой катастрофические риски Госдепартамент США предупреждает о развитии искусственного интеллекта. Общий искусственный интеллект может привести к вымиранию человечества.

Xinhua Silk Road: Shaanxi Blower Group на Hannover Messe 2024 представила свои новейшие технологии В Ганновере прошла Международная выставка инноваций и промышленных технологий Hannover Messe 2024. В этом году выставка привлекла около 4.000 участников из около 60 стран и регионов мира, причем 30% от их общего числа составили китайские экспоненты. Компания Shaanxi Blower (...

Официально представлен смартфон Google Pixel 8a Компания Google решила без лишнего шума представить свой новый смартфон Google Pixel 8a, выход которого мы ожидали на конференции разработчиков I/O.

Первые исследования образцов астероида Бенну позволяют предположить, что он может быть «фрагментом древнего океанического мира» Учёные продолжают активное исследование образцов астероида Бенну, полученных в ходе успешно завершённой миссии NASA OSIRIS-REx. После семилетнего путешествия к этому космическому объекту, образцы были сброшены с парашютом в Юте и транспортированы в Лабораторию анализа а...

Технология анимации лица Audio2Face от NVIDIA появится в MMO World of Jade Dynasty В 2023 году компания NVIDIA представила необычную технологию Audio2Face, которая помогает в создании реалистичной анимации лица, а уже сегодня было анонсировано, что она появится в играх World of Jade Dynasty — сиквеле MMORPG Perfect World, и приключении Unawake от разработч...

Завод «Микрон» увеличил производство продуктов для промышленного использования Резидент особой экономической зоны (ОЭЗ) «Технополис Москва» — завод «Микрон» — увеличил выпуск новых продуктов для промышленного использования. Микросхемы управления питанием, составные транзисторы с высокой нагрузочной способностью и RFID-метки для экстремальных условий эк...

Опубликованы концептуальные рендеры часов Apple Watch X Компания Apple, как сообщается, готовится к крупной переработке своей линейки умных часов в этом году, и, ожидается, что предстоящие Apple Watch получат полностью новый дизайн, продвинутые функции отслеживания здоровья и переделанную систему крепления браслетов.

Названы главные пять факторов успешного развития ребёнка Существует пять условий, который помогут вашему ребёнку в первый год жизни получить всё, что нужно для здорового развития. Подробнее об этом говорится в новом исследовании, опубликованном в журнале JAMA Pediatrics.

Колонка-лампа Portronics Dash 6 может помочь в создании медитативного настроения Компания Portronics представила Dash 6 — беспроводную Bluetooth-колонку, также выступающую в роли ретросветильника

«Время автономной работы ужасное»: недавнее обновление Apple разряжает iPhone, доказано в тесте на пяти моделях Компания Apple выпустила на прошлой неделе обновление мобильной программной платформы. Как оказалось, iOS 17.1.1 были не только устранены неприятные сбои, но и появились новые.  Фото: Uniboa / Unsplash Установившие апдейт пользователи жалуются в сети на слишк...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

OpenAI разработала Voice Engine – нейросеть для генерации голоса по 15-секундному образцу Компания OpenAI, известная созданием различных нейросетей, выпустила Voice Engine – платформу преобразования текста в голос человека. Voice Engine находится в разработке около двух лет и способна воссоздать голос, прослушав любой 15-секундный образец с примером звучания…

Доказано: стресс повышает риск возникновения болезни Альцгеймера Результаты нового исследования показали, что развод или смерть близкого человека помогут повысить вероятность развития болезни Альцгеймера у человека. Но только если эти события произошли в детстве или среднем возрасте.

Яндекс инвестировал более 6 млрд рублей в цифровую безопасность в 2023 году Компания сфокусировалась на усилении защиты данных пользователей, повышении безопасности инфраструктуры и развитии технологий для борьбы с мошенниками. Вложения пошли на разработку защищённых систем хранения данных, развитие технологий защиты от DDoS-атак и мошенничества, си...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Bloomberg: США и Израиль обсуждают создание временного правительства в Газе По словам собеседников СМИ, обсуждения пока находятся на ранней стадии и будут зависеть от дальнейшего развития событий, в том числе от успеха планируемой наземной операции Израиля в секторе Газа, отмечает агентство.

Доказано: бег не помогает похудеть, но предотвращает набор веса Недавно в некоторых средствах массовой информации появилось утверждение, что бег на самом деле неэффективен при снижении веса. Новое же исследование показало, что этот вид активности препятствует увеличению количества жира в организме в долгосрочной перспективе.

Hyundai и Kia представили шины с выдвижными цепями противоскольжения Hyundai Motor Company и Kia Corporation представили новую технологию шин с интегрированными цепями противоскольжения, которая упрощает безопасность вождения в зимних условиях. В технологии шин со встроенной цепью противоскольжения используются модули из сплава с памятью...

Опубликованы концептуальные рендеры смартфона iPhone SE 4 По слухам, Apple уже некоторое время работает над следующим поколением смартфона iPhone SE.

Стандарт Wi-Fi 7 официально сертифицирован Организация Wi-Fi Alliance, как и ожидалось, официально сертифицировала новый беспроводной стандарт Wi-Fi 7 (IEEE 802.11be) и опубликовала его спецификации. Wi-Fi 7 поддерживает технологию Multi-Link Operation (MLO), которая позволяет устройствам передавать и принимать ...

Генеральный директор Intel, Пэт Гелсингер считает, что в дальнейшем Nvidia потеряет лидерство в ИИ По мнению представителя Синих, в скором времени вся отрасль откажется от технологии CUDA и тогда Зелёный гигант рухнет

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)