Социальные сети Рунета
Четверг, 2 мая 2024

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Nvidia утверждает, что AMD нечестно сравнивала свой ускоритель Instinct MI300X и H100 Nvidia только начала расти? Поставки серверов компании для ИИ в следующем году вырастут на 150% Компания AMD неделю назад объявила о запуске своих ускорителей Instinct MI300X и MI300A, заодно раскрыв подробности. В том числе компания заявила, что Instinct MI300X на 20%...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Huawei может ещё больше. Компания готовит новую SoC Kirin 830, которая выйдет в конце года и станет сердцем Nova 12 Компания Huawei может выпустить ещё одну новую платформу Kirin. Согласно данным инсайдера Wisdom Pikachu, Kirin 830 может выйти в конце года.  Платформа станет сердцем смартфонов линейки Nova 12. Если точнее, как минимум модели Nova 12, тогда как Nova 12 Pro получ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Huawei придётся продолжать использовать старую-новую SoC Kirin 9000s в смартфонах 2024 года. Эту платформу может получить базовая модель P70 Компания Huawei, возможно, создала для смартфонов линейки P70 новую SoC Kirin 9010, хотя её параметры пока неизвестны. Согласно свежим данным, младшая модель P70 получит старую платформу.  фото: Bloomberg  Тот же инсайдер Smart Pikachu говорит, что модель Hua...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Революция Huawei отменяется: новейшая 5-нанометровая SoC Kirin 9006C производится на мощностях TSMC, а не SMIC Похоже, Huawei вместе со SMIC всё же пока не совершили революции. Разборка нового ноутбука компании показала, что однокристальная система Kirin 9006C, которая производится по нормам 5 нм, на самом деле производится не китайской SMIC, а TSMC.  фото: TechInsigh...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

В 2025 году сервисы могут приносить Apple до четверти всего дохода На данный момент компания Apple получает основные доходы от продажи смартфонов. Однако аналитики уже не первый год говорят о том, что купертиновцы стараются найти еще как минимум один источник, который помог бы им не зависеть от спроса на iPhone. По мнению экспертов, решение...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Nvidia заранее предупреждает, что её будущие ускорители для ИИ нового поколения изначально будут в дефиците Компания Nvidia решила заранее предупредить, что её ускорители поколения Blackwell будут в дефиците сразу после запуска.  Мы рады, что поставки продуктов архитектуры Hopper улучшаются. Спрос на Hopper остается очень высоким. Мы ожидаем, что предложение нашей проду...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Samsung улучшила доходность техпроцесса 3 нм более чем втрое, но этого всё равно недостаточно, чтобы догнать TSMC Компания Samsung продолжает отставать от TSMC в темпах освоения новых техпроцессов, но улучшает собственные показатели. Как сообщается, показатель выхода годной продукции у техпроцесса 3 нм значительно улучшился.  Если точнее, то в разы. Инсайдер Revegnus, говорит...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

«Мы спасли Белвидир. Мы снова сделали это…», — 1200 рабочих вернутся на завод собирать новый автомобиль Stellantis В конце февраля компания Stellantis приостановила сборочный завод в Белвидире, штат Иллинойс, где раньше производился Jeep Cherokee. Это радикальное решение означало, что 1200 рабочих пришлось уволить без каких-либо обещаний о будущей работе. После подписания предварите...

GPU в Pixel 8 и Pixel 8 Pro: снова очень сильный троттлинг и производительность уровня Snapdragon 8 Plus Gen 1 Однокристальная система Tensor G3, которая лежит в основе смартфонов Pixel 8 и 8 Pro, прошла тесты 3DMark Wild Life, который не только демонстрирует производительность SoC, но и уровень троттлинга.  В тесте старшая и младшая модели набрали соответственно 8572 и 82...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Китайский ответ Land Cruiser Prado 250 и Mercedes-Benz G-класса готов к премьере. Подробности о Tank 700 PHEV, который представят на следующей неделе На следующей неделе стартует автосалон в Гуанчжоу, в рамках которого будет представлено много новинок. В их число войдет и новый «гражданский танк» — рамный внедорожник Tank 700, который своей брутальной внешностью одновременно напоминает и новый Land ...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

Почему новый процессор Dimensity 9300 круче Snapdragon 8 Gen 3, и вам нужен смартфон на MediaTek В конце прошлого месяца компания Qualcomm показала процессор Snapdragon 8 Gen 3, который будет использоваться в большинстве флагманских смартфонов 2024 года. Но ее конкурент в лице MediaTek не дремлет и уже сегодня подготовил свой ответ. 6 ноября вышел новый процессор Dimen...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

Windows 12 выйдет в следующем году? Intel говорит, что ожидает рост доходов из-за выхода новой версии операционной системы Компания Intel снова указывает на выход новой версии Windows в следующем году. Об обновлении на конференции Citi заявил финансовый директор Intel Дэвид Зинснер, отметив, что на этом фоне компания ожидает роста своих доходов.  создано DALL-E в Bing В данном случае ...

Чтобы не везти «свежий воздух» из Китая. В России открылось новое производство пропеллеров для дронов В России начали выпускать отечественные пропеллеры для дронов. Производство наладили в Новосибирске, о чем рассказал исполнительный директор конструкторского бюро «Спектр» Андрей Братеньков. «Мы запустили производство пропеллеров для FPV-дронов в Новос...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

[Перевод] Почему я не буду использовать Next.js Представьте, что вы планируете начать новый проект или использовать в существующем более современный подход. А, может, вас просто не устраивает используемый фреймворк, и вы подумываете об альтернативах. В любом случае вам нужно что-то выбрать. Существует множество «совреме...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

iPhone 16 Pro Max получит передовой сенсор Sony Текущий флагманский смартфон iPhone 15 Pro Max сохранил тот же 48-мегапиксельный основной сенсор, который использовался в том числе в iPhone 14 Pro Max, но инженеры компании значительно его улучшил, чтобы новый флагман снимал лучше предыдущего. Теперь инсайдеры сообщают о то...

Оказывается, новые процессоры Intel поддерживают уникальную функцию APO, ускоряющую игры на 20-30% Процессоры Intel Core 14-го поколения (Raptor Lake Refresh) не могут похвастаться новой архитектурой или техпроцессом, они не поддерживают Thunderbolt 5, но оказалось, что они всё же могут предложить пользователям кое-что, чего нет у предшественников. Речь о функции Int...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

В AMD считают, что Intel не добьётся успеха, как производитель полупроводниковой продукции для сторонних заказчиков Несколько последних лет Intel придерживается стратегии IFS, которая, кроме прочего, подразумевает превращение компании в том числе в крупного производителя полупроводниковой продукции для сторонних клиентов. В AMD считают, что эта стратегия их конкурента провалится.&nbs...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

Ryzen 9000 ближе, чем все думали? В Сеть попала рекламная брошюра, где упоминаются такие процессоры Процессоры Ryzen 8000G, как мы уже сообщали, могут появиться вместо Ryzen 7000G уже в конце текущего или в начале следующего года. Возможно, Ryzen 9000 тоже уже на подходе.  В Сеть попало фото рекламной брошюры Dell, где изображён игровой ПК Alienware, якобы оснащ...

iPhone 16 Pro и 16 Pro Max получат 48-мегапиксельный «ширик», Wi-Fi 7 и по 8 ГБ ОЗУ. Появилось много данных о новых смартфонах Apple Линейка iPhone 15 только вышла на рынок, а в Сети всё активнее обсуждают iPhone 16. Аналитик Джефф Пу из Haitong International Securities раскрыл некоторые характеристики будущих смартфонов Apple.  К примеру, согласно данным источника, старшие iPhone 16 Pro и 16 Pr...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

К вопросу о применении преобразователей фирмы АЕ-ДОН Как и всегда, тема поста возникла в процессе решения практической задачи, как и всегда, решение показалось мне интересным, и, как и всегда, решил поделиться с коллегами.В данном конкретном случае речь пойдет о странностях, сопровождающих работу понижающего изолированного пре...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Энергоэффективность процессора в SoC Tensor G3 просто ужасна. Хуже за последние годы было только у Exynos 990 На днях мы имели возможность оценить производительность и энергоэффективность SoC Tensor G3 в графических тестах. Теперь пришёл черёд игр и тестов CPU.  Тот же автор Golden Reviewer провёл тесты Pixel 8 Pro в Genshin Impact, и результаты оказались намного более пл...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Китай хочет заполучить 300 эксафлопс вычислительной мощности уже к 2025 году Китай хочет уже к 2025 году повысить свою вычислительную мощность на 50%. В данном случае речь идёт о суммарных вычислительных мощностях всех суперкомпьютеров в стране.  Если у Китая это удастся, речь будет идти примерно о 300 эксафлопс. На текущий момент Китай ра...

Россия готова выпускать Lada на новых мощностях в Казахстане По итогам переговоров между Россией и Казахстаном, президент РФ Владимир Путин заявил о готовности России создать новые производственные мощности для автомобилей Lada в Казахстане. Это могут быть дополнительные заводские сооружения, где начнется выпуск автомобилей от ко...

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Exynos 2400 в играх будет быстрее Snapdragon 8 Gen 3? Топ-менеджер Samsung говорит, что GPU Xclipse 940 производительнее конкурентов Как известно, на некоторых рынках младшие модели линейки Samsung Galaxy S24 будут продаваться с SoC Exynos 2400. И Samsung утверждает, что графический процессор этой платформы мощнее, чем у решений конкурентов.  Если точнее, это заявил президент подразделения Sams...

Вся линейка Samsung Galaxy S25 будет основана только на SoC Exynos? Свежие слухи говорят, что Snapdragon останется лишь у складных флагманов Согласно различным слухам, компания Samsung работает над совершенно новой SoC Exynos, которую якобы называют Dream Chip. Свежие данные говорят о том, что вся линейка флагманов Galaxy S 2025 года будет опираться на эту самую платформу.  Инсайдер Connor (OreXda), ко...

То есть Qualcomm сделала 12-ядерный Arm-процессор, который потребляет больше, чем 16-ядерный Core Ultra? Стали известны лимиты мощности для Snapdragon X Elite После вчерашних новостей об обмане Qualcomm уже вовсе не факт, что она изменит рынок ПК со своими SoC Snapdragon X, но компания точно уже вляпалась в скандал. И новые данные о высоком потреблении новых платформ подливают масла в огонь.  Компания никогда не заявлял...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

Новые iPhone получат «телевик» с 10-кратным оптическим зумом. Возможно, первым будет iPhone 17 Pro Max Смартфон iPhone 15 Pro Max первым в линейке Apple получил «телевик» на основе тетрапризмы с пятикратным оптическим зумом. В будущем Apple может перейти на тетрапризму с 10-кратным зумом.  создано DALL-E Как сообщается, Apple заменит LG Innotek, которая...

Примерно так будут выглядеть выросшие в размерах iPhone 16 Pro Max и iPhone 16 Pro на фоне текущих моделей. Авторы Phone Arena создали свои рендеры Согласно всем актуальным слухам, iPhone Pro следующего поколения будут крупнее текущих моделей. Ресурс Phone Arena решил показать, как примерно это будет выглядеть.  На рендерах можно видеть текущие iPhone 15 Pro и 15 Pro Max и грядущие iPhone 16 Pro и 16 Pro Max....

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

«То, что мы придумали, — это бомба», — Alfa Romeo обещает очень красивый дизайн для Giulia нового поколения Генеральный директор Alfa Romeo Жан Филипп Импарато поделился предварительными подробностями о будущем спортивном седане Giulia следующего поколения. Он будет базироваться на той же платформе STLA Large, что и Charger 2024 года, но с радикально другим дизайном. Глава ко...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

NASA продвигается к лунным миссиям Artemis: завершено шестое испытание двигателей RS-25 для ракеты SLS NASA успешно завершило шестое из двенадцати планируемых испытаний двигателей RS-25, необходимых для сертификации лунной ракеты SLS (Space Launch System). Испытания провели инженеры в космическом центре имени Стенниса 27 января, используя полноценную испытательную пусков...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Почему аккаунт Google пишет «Ограничение по возрасту» и что делать? Некоторые пользователи при регистрации нового аккаунта Google получают уведомление об ограничении по возрасту — в результате создать учетную запись не получается. Аккаунт Google позволяет получить единый доступ к сервисам компании, однако их содержимое подходит не для всех ...

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Exynos сохранится и в линейке Galaxy S25. Samsung сохранит текущий подход с двумя платформами для своих флагманов 2025 года Похоже, линейка смартфонов Samsung Galaxy S25, как и текущие флагманы компании, будет опираться на две разные однокристальные системы.  Согласно данным DigiTimes, в следующем году Samsung сохранит двухчиповый подход для своей серии смартфонов Galaxy S25. То есть б...

Apple, а где хвалёная мощь GPU в A17 Pro? В тесте 3DMark Wildlife Extreme новый iPhone 15 Pro Max уступает даже Samsung Galaxy S23 Ultra Автономность iPhone 15 Pro Max лучше, чем у основных конкурентов на Android, но не особо значительно Компания Apple вывела на рынок первую потребительскую SoC, созданную по техпроцессу 3 нм. Однако, похоже, даже такая платформа в новых iPhone перегревается. Тесты показ...

GeForce RTX 5090 действительно может получить память GDDR7. Появилась дорожная карта компании Micron В Сеть попала дорожная карта компании Micron, которая позволяет понять, когда ждать видеокарты с новой памятью GDDR7.  Как можно видеть, первое поколение такой памяти ожидается в конце следующего года. Это будет GDDR7 с эффективной частотой 32 ГГц. Это позволит Nvi...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Nvidia RTX 50 будeт производиться на базе 3-нм техпроцесса TSMC с поддержкой DisplayPort 2.1 Компания, по всей видимости, хочет сделать свою следующую архитектуру более современной и соответствующей стандартам, которые отсутствовали у Ada Lovelace.

Samsung планирует продать очень много смартфонов линейки Galaxy S24. На 40% больше, чем аппаратов Galaxy S23 Компания Samsung, согласно данным The Elec, собирается продать намного больше смартфонов Galaxy S24 в сравнении с S23.  Если точнее, в планах компании — продать 35,2 млн флагманских аппаратов за следующий год, тогда как продажи линейки Galaxy S23 по итогам т...

Флагман Xiaomi 14 оказался намного успешнее Xiaomi 13 Руководитель компании Xiaomi Лэй Цзюнь рассказал об успехе серии флагманских смартфонов Xiaomi 14, которые были выпущены в конце октября. Оказалось, что всего за пять минут после старта продаж новинки оказались в 6 раз успешнее, чем предыдущее поколение. Всего на данный моме...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Tesla может начать продажи роботов Optimus в 2025 году, а уже в этом году они начнут трудиться на заводах После публикации финансовых результатов Tesla за первый квартал 2024 года Маск сообщил обновленную информацию о сроках внедрения гуманоидного робота Optimus. Генеральный директор заявил, что Optimus уже выполняет заводские задачи в своей лаборатории. Он считает, что к к...

Стали известны планы по моделям Jeep на ближайшие годы Автоконцерн Stellantis и профсоюз UAW достигли предварительного соглашения о новом контракте для рабочих. В ходе этих переговоров стали известны производственные планы для нескольких моделей, включая Jeep и Dodge, как сообщает Motor1. Большинство популярных внедорожнико...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

В основе нового чипа Google для ИИ будет лежать в том числе архитектура RISC-V. Предположительно, речь о ядре SiFive X390 Похоже, компания Google собирается использовать архитектуру RISC-V для своих будущих чипов TPU, нацеленных на вычисления с ИИ.  Компания SiFive, которая является разработчиком коммерческих процессоров RISC-V и IP-блоков для них на основе архитектуры набора команд ...

Intel демонстрирует прорывы в масштабировании транзисторов, которые поддерживают закон Мура Предстоящая технология Intel RibbonFET должна дебютировать в техпроцессе компании на 20 А в следующем году, но производитель чипов уже демонстрирует следующий шаг: 3D-стекирование CMOS транзисторов.

Toyota готовит мини-Land Cruiser и соверешенно новый внедорожник 340D Toyota начала разработку нового внедорожника для индийского рынка, который будет выпущен в начале 2026 года и станет ключевым продуктом для нового завода, о чем сообщает Reuters со ссылкой на осведомленных информаторов. Новый внедорожник C-сегмента под кодовым названием...

Apple выпустит четыре новых MacBook в 2024 году Марк Гурман из Bloomberg продолжает делиться информацией о грядущих яблочных новинках. Ранее он уже не раз сообщал о том, что Apple решила отложить анонс ряда устройств на следующий год. Речь идет не только об iPad, но и Mac. По словам Гурмана, в следующем году купертиновцы ...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Новые iPad Pro появятся уже в марте По имеющейся информации, компания Apple выпустит новые модели iPad Pro к концу следующего месяца с большим количеством изменений. Например, инсайдеры уверены в том, что компания внесёт значительные апдейты в дизайн и форм-фактор планшета, так что планшеты получат большую диа...

Конец эпохи: Chevrolet построила последний двигатель LT4 V8 для Camaro Компания Chevrolet построила последний двигатель LT4 V8 с наддувом, предназначенный для Camaro ZL1 2024 года, прежде чем он будет снят с производства . Фотография двигателя вместе с инженером Билли Берком и остальной командой была опубликована в социальных сетях. ...

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Пора прощаться с культовым брендом Sony Xperia? Компания может отказаться от него уже в следующем году Дела на рынке смартфонов у компании Sony уже давно идут не очень хорошо, но производитель упорно гнёт свою линию. Возможно, в ближайшее время Sony всё же решиться на кардинальные изменения и откажется от бренда Xperia.  Xperia X1; фото: Sony Об этом впервые написа...

Это новое будущее Windows с Arm. В Сети засветилась платформа Snapdragon X Plus Уже через два дня Qualcomm представит однокристальные системы Snapdragon X для ноутбуков с Windows. В семейство будут входить старшие SoC X Elite и младшие X Plus. И сегодня в Сети засветились именно вторые.  Пока речь только об одной модели с именем X1P64100. Как...

Новый Nissan Armada будет намного лучше Land Cruiser 300. Так считают в самом Nissan Пока Toyota занимается запуском продаж нового Land Cruiser Prado 250 в США, Китае и Европе, Nissan готовит свой флагманский внедорожник Armada для рынков США и Ближнего Востока. И в компании уверены в своем новом автомобиле. Nissan Armada 2025 сейчас активно тестируют ...

Для конкуренции с младшими Ryzen и Core? В Сети засветились платформы Qualcomm Snapdragon X Plus, которые станут на ступень ниже Elite В ближайшие месяцы на рынок должны выйти первые ноутбуки с платформами Snapdragon X Elite и Windows. Но Qualcomm готовит не только эти SoC, а и решения классом ниже. В Сети, к примеру, засветились модели Snapdragon X Plus.  Есть упоминание двух платформ: X1P44100 ...

Samsung Galaxy S24 могли выйти с SoC Dimensity 9300. Сделка не состоялась в том числе из-за малого объёма производства этой платформы В своё время ходили слухи о том, что флагманские смартфоны Samsung Galaxy S могут заполучить топовые SoC MediaTek. Этого не произошло, но теперь появились данные о том, что корейский гигант действительно рассматривал такой вариант.  Инсайдер Revegnus утверждает, ч...

«Аквариус» запустил производство российских мониторов на своих заводах в Твери и Шуе Мощность завода сегодня составляет 1,5 млн устройств в год. Компания ожидает, что к концу 2025 г. мощности заводов вырастут до 2,5 млн устройств в год..

Exynos 2400 — действительно неплохая платформа, но с не лучшей энергоэффективностью. Тесты показывают, что потребление CPU довольно высокое Различные тесты уже доказали, что SoC Exynos 2400 действительно получилась достаточно неплохой на фоне Snapdragon 8 Gen 3. Однако свежие данные говорят о том, что всё же у платформы Samsung всё намного хуже с энергоэффективностью.  Если говорить только о процессор...

Производство «автомобиля для чиновников» Lada Aura начнётся в сентябре 2024 года Вице-президент по внешним связям и взаимодействию с акционерами компании «АвтоВАЗ» Сергей Громак в ходе круглого стола в Совете Федерации рассказал, когда начнётся производство автомобиля Lada Aura. Напомним, Lada Aura — это модель представительского к...

Мощность мотора – до 408 л.с., КПД – 92%. Раскрыты характеристики российской электромеханической трансмиссии для гибридов и электромобилей «Автопоток» опубликовал характеристики передовой (для России) электромеханической трансмиссии NAMI E-Transmission. Впервые о ней стало известно 10 назад: устройство демонстрировали главе Минпромторга Денису Мантурову. E-Transmission может использоваться как...

На сей раз Apple не хочет долго ждать? Производство складного iPad может начаться уже через год Компания Apple может выйти на рынок мобильных устройств с гибкими экранами уже в следующем году.  создано DALL-E Ресурс DigiTimes, ссылаясь на свои источники в цепочке поставок Apple, говорит, что производство складного планшета iPad может стартовать уже через год...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Nokia, живи. В текущем году на рынок выйдет минимум 17 новых мобильных телефонов Nokia Как известно, компания HDM Global решила сконцентрироваться на собственном бренде на рынке смартфонов вместо использования бренда Nokia. Однако мобильные аппараты Nokia как минимум в этом году точно никуда с рынка не денутся. Более того, появится минимум 17 новых моделе...

Intel начала двигать Nvidia на рынке ИИ? Корейская компания Naver перешла с GPU Nvidia на CPU Intel для своего сервера с искусственным интеллектом За последний год компания Nvidia стала неоспоримым лидером на рынке поставщиков ускорителей для искусственного интеллекта. Однако на этом рынке присутствует не только она. Как сообщается, компания Naver заменила ускорители Nvidia на аналогичные решения Intel.  соз...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Так будет выглядеть совершенно новая «Волга»? На ГАЗе засняли Changan Raeton Plus, он может превратиться в возрождённую «Волгу» В Минпромторге ещё в прошлом году анонсировали возрождение легендарного отечественного автобренда «Волга», и уже тогда стало понятно, что путь будет таким же, как у «Москвича». Но не был известен китайский партнер: учитывая статус «Волги&ra...

«Сбер» решил выпускать телевизоры диагональю до 75 дюймов в Новгородской области. Вся продукция будет проходить строгий контроль качества «Сбер» планирует расширить производство умных телевизоров в России, выбрав для этого Новгородскую область. Об этом сообщает инсайдерский канал «ё-Пром | Импортозамещение в промышленности». Компания намерена локализовать до 50% производства своих ...

Почему Galaxy S24 Ultra мощнее любого другого Android-смартфона на Snapdragon 8 Gen 3 Компания Samsung открыла 2024 год выпуском новых смартфонов Galaxy S24. На фоне других Android-флагманов, представленными на рынке, главной фишкой корейских устройств стал всеобъемлющий искусственный интеллект. Но есть и еще одна уникальная особенность. Старшая модель Galax...

В мире активны более 3 млрд устройств с платформами Snapdragon Компания Qualcomm представила SoC Snapdragon 8 Gen 3, платформу Snapdragon X Elite для ПК с Windows и революционные звуковые платформы Qualcomm S7 и S7 Pro Gen 1 с поддержкой Micro-power Wi-Fi. А ещё компания рассказала, что на сегодняшний день в мире насчитывается боле...

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

OnePlus 12 мгновенно стал бестселлером Компания OnePlus похвасталась успехами флагманского смартфона OnePlus 12, который сегодня появился в китайской продаже. По данным производителя, уже в первые пять минут новинка стала вторым самым продаваемым устройством на крупнейших платформах Tmall и JD.com. Напомним,...

Nvidia не против заполучить третьего партнёра для производства своих GPU, и это намёк на Intel Компания Nvidia снова заговорила о возможности производить свои GPU на мощностях Intel. Точнее, напрямую об Intel сейчас никто не заявлял, но подразумевается именно эта компании. Сейчас современные графические процессоры компании производит только TSMC, хотя ранее этим...

В iPhone 17 появится новое стекло на экране Компания Apple достаточно давно сотрудничает с американской Corning Incorporated которая, специализируется на производстве стекол для мобильных устройств. Совместно они создали защитное стекло Ceramic Shield, используемое во всех последних iPhone. Однако, как отмечают сетевы...

Мощи Snapdragon 8 Gen 4 достаточно, чтобы конкурировать даже с Apple M2. В Сети появились первые тесты Пока на рынке только начинают появляться первые смартфоны с SoC Snapdragon 8 Gen 3, в Сети уже появились результаты тестирования Snapdragon 8 Gen 4.  Само собой, речь не может идти о серийных платформах, так что к результатам стоит относиться соответствующе. Но он...

Революционно новый Duster представят 29 ноября. Это будет самый мощный Duster в истории – с мотором мощностью 170 л.с. Ресурс Motors Actu опубликовал много важных подробностей о совершенно новом внедорожнике Dacia Duster. Как сообщается, автомобиль представят 29 ноября в Португалии. Dacia Bigster. Изображение: Dacia Внедорожник будет построен на платформе CMF-B – примерно такая ж...

Инсайдер: планшет Vivo Pad 3 получит топовую SoC MediaTek Dimensity 9300 Известный инсайдер Digital Chat Station на своей странице в Weibo раскрыл некоторые подробности о готовящемся планшете Vivo Pad 3. Напомним, в апреле текущего года был выпущен Vivo Pad 2 — 12-дюймовый планшет на базе MediaTek Dimensity 9000. По заявлению источника...

Samsung наконец-то откажется от бренда Exynos? Компании приписывают намерение заменить его на Dream Chip Компания Samsung, возможно, откажется от бренда Exynos, заменив его другим.  Как сообщает инсайдер OreXda, который ранее сообщил о формировании департамента Samsung MX до официального заявления компании, бренд Exynos будет заменён на Dream Chip. Стоит также отмети...

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Huawei разрабатывает чипы для десктопа Совсем недавно компания Huawei официально вернулась на рынок смартфонов. Стоит отметить, что производитель на самом деле с рынка смартфонов официально не уходил — просто из-за различного рода ограничений и торговых санкций компания была вынуждена реорганизовать бизнес, созда...

Intel обвиняет AMD в использовании старой архитектуры Zen 2 в новых процессорах, но при этом в своей презентации делает странное сравнение и даже лукавит Компания Intel, похоже, решила возобновить рекламную кампанию против AMD, но в очередной раз сделал это несколько странно. Процессорный гигант создал презентацию Core Truths, в которой решил раскрыть страшную тайну о том, что даже в линейке Ryzen 7000 компания AMD продо...

Скоро на видеокартах GeForce будет написано «создано ИИ»? Nvidia создала искусственный интеллект NeMo, призванный помогать в разработке чипов Вполне вероятно, вскоре мы будем покупать видеокарты Nvidia, разработанные искусственным интеллектом. Или как минимум при его активной помощи. Nvidia представила ИИ NeMo (также его называют ChipNeMo), который призван помогать инженерам компании проектировать новые чипы....

Топовая SoC MediaTek Dimensity 9300 без малых ядер CPU оказалась очень успешной. Продажи компании ощутимо выросли Компания MediaTek, похоже, сделала правильную ставку на свою новую флагманскую SoC Dimensity 9300.   В прошлом году выручка компании от продажи флагманских SoC выросла на 70%, до более чем 1 млрд долларов. Само собой, это заслуга не только новейшей платформы компа...

«Некоторые из наших клиентов не поверили данным, пока не проверили их на практике». Память HBM3 Gen2 уже тестируется Nvidia Компания Micron уже начала поставки памяти HBM3 Gen2 для Nvidia, и результаты тестирования, похоже, очень впечатляющи.  Память поставляется пока лишь для тестирования: массовое производство ещё, видимо, не началось. Однако уже сейчас понятно, что новая память може...

Google Pixel 9 не будет мощнее прошлогодних флагманов? SoC Google Tensor G4 протестировали в Geekbench, результат на уровне Galaxy S23 Ultra В базе Geekbench 5 засветилось устройство Google Tokay на базе одноименной однокристальной системы. Характеристики платформы соответствуют предварительным данным о характеристиках будущей флагманской однокристальной платформы Tensor G4  для Google Pixel 9 и Pixel 9...

Производство Skoda Octavia, Kodiaq, Karoq и Kamiq в Казахстане вот-вот начнётся. Изначально машины будут поставлять только на внутренний рынок Сборка автомобилей Skoda на заводе «Сарыаркаавтопром» компании Allur в Костанае скоро начнётся, в данный момент завершается подготовка к производству, о чем пишет «Автопоток». Неделю назад стало известно, что Skoda и завод Allur подписали соглаше...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Смартфонам HuaweI P70 быть. Но пока неясно, будет ли преемница у SoC Kirin 9000s Компания Huawei продолжит выпускать свои флагманские смартфоны. Согласно свежим данным, в следующем году нас ждёт линейка P70.  Данные получены от производителей подэкранных сканеров отпечатков пальцев. Для новинки Huawei такой элемент будут поставлять компании Go...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Apple догонит рынок? Свой аналог ChatGPT в iOS может появиться уже в следующем году Компания Apple, похоже, наконец-то примкнёт к гонке генеративного искусственного интеллекта уже в следующем году.  Аналитик Haitong International Securities Джефф Пу (Jeff Pu) утверждает, что Apple может внедрить генеративный ИИ в iOS 18, которая выйдет ближе к ко...

Китайцы представили замену очень популярному в КНР Toyota Coaster. Hongqi Guoyue получил 3,0-литровый мотор и три версии: бизнес, люкс и флагманскую Toyota Coaster – чрезвычайно популярный автобус в Китае: он используется компаниями для перевозки своих сотрудников, но также и работает на маршрутах. И вот сейчас FAW разработала аналог Coaster, автобус представлен под люксовым брендом Hongqi и называется Guoyue....

Когда будет следующая презентация Apple и что на ней покажут Все презентации Apple, намеченные на 2023 год, уже благополучно прошли. За это время нам показали MacBook Air 15, Apple Vision Pro, целую россыпь MacBook Pro на процессорах M3, линейку iPhone 15, две модели Apple Watch и, конечно же, новые версии операционных систем, которы...

Новая мышь Huawei поддерживает Bluetooth и StarLight Беспроводная мышь Huawei Wireless Mouse Star Flash Edition (модель CD23-R) прошла сертификацию перед началось продаж. Мышь позволяет обмениваться данными с компьютером/планшетом через Bluetooth или StarLight. Информация о сертификации показывает, что мышь CD23-R использ...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

В Челябинской области вместо грузовиков Iveco начали собирать китайские грузовики Hongyan, но это равноценная замена В России на Миасском предприятии АМТ N.V. (ранее оно называлось «Ивеко-АМТ» и выпускало грузовые автомобили Iveco), начали собирать китайские грузовики Hongyan. На самом деле, замену можно считать равноценной, так как Hongyan производятся в Китае на совместн...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

BMW M3 и дальше будут выпускать с ДВС мощностью 500 л.с. и мощнее. Также выйдет электрическая версия BMW будет производить бензиновые и электрические версии M3 одновременно, о чем пишет BMW Blog, который отмечает, что снижение нормативного давления позволило немецкому автопроизводителю сохранить ДВС в модельном ряду. Да, BMW Blog утверждает, что электромобиль и M3 с ДВ...

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

Intel показала, чем будет завоёвывать рынок искусственного интеллекта. Компания продемонстрировала ускоритель Gaudi3 Компания Intel не только заявляет, что «вся индустрия заинтересована в ликвидации рынка Nvidia CUDA», но и продолжает разрабатывать собственные ускорители для ИИ. Сегодня Intel продемонстрировала модель Gaudi3, которая должна выйти в следующем году.  ф...

Чтобы никакие личные фотографии не достались сотруднику сервисного центра. Google работает над режимом Repair Mode для Android Компания Google работает над режимом Repair Mode для операционной системы Android. Указания на этот режим обнаружились в репозитории AOSP.  создано DALL-E Речь о режиме, который пригодится любому пользователю, если придётся сдавать смартфон в сервисный центр. Это ...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

iPhone 16 не получит процессор с индексом Pro В этом году компания Apple официально представила новую линейку смартфонов, во флагманских моделях которой используется новый мобильный процессор A17 Pro. Данный процессор обладает достаточно внушительной производительностью, но пока что он используется только в самых дороги...

Смартфон Xiaomi 14 Ultra получит быструю беспроводную зарядку мощностью 80 Вт Мы уже не раз писали о готовящемся флагманском смартфоне Xiaomi 14 Ultra, который известен благодаря нескольким утечкам. Предполагается, что аппарат может появиться уже в конце февраля, а недавно его начал использовать Лу Вейбинг, президент международного отдела Xiaomi ...

iOS, HarmonyOS и Android станут тремя независимыми системами. Поддержку Android из HarmonyOS удалят в 2024 году Компания Huawei действительно планирует сделать так, чтобы операционная система HarmonyOS была несовместима с приложениями Android — это произойдет уже в следующем году. В настоящее время предварительная версия HarmonyOS Next для разработчиков больше не совместима...

70% всех потребительских процессоров сейчас — это мобильные CPU. Поставки процессоров для ПК выросли на 22% Рынок ПК, похоже, начинает оживать. Согласно данным аналитиков Jon Peddie Research, поставки процессоров в четвёртом квартале 2023 года выросли в годовом выражении на внушительные 22%.  создано DALL-E Всего за квартал было поставлено 66 млн CPU, что в том числе на...

И снова Samsung помогает в развитии Android. Google отказалась от функции обмена данными Nearby Share и совместно с Samsung создала вместо неё Quick Share Компания Google решила сделать единую функцию обмена данными между устройствами с Android, объединившись с Samsung.  В итоге, если с 2020 года Google продвигала свою функцию Nearby Share, то теперь она заменила её на Quick Share, которую разработала и давно примен...

Раньше видеокарты у геймеров «отбирали» майнеры, а теперь пришёл черёд специалистов по ИИ? Tiny предлагает систему для работы с ИИ на основе шести Radeon RX 7900 XTX Пару лет назад бум вокруг криптовалют привёл к тому, что игровые видеокарты массово использовались вовсе не для игр. Сейчас такой ситуации нет, но топовые адаптеры понемногу всё чаще используют вместо ускорителей для ИИ. К примеру, компания Tiny предлагает систему с шес...

Техпроцесс 3-нм принесет TSMC 20% дохода в 2024 году В отчете ICSmart отмечается, что узел EUV 3-нм станет ключевым источником доходов TSMC в 2024 году, составляя 20% общей выручки компании

Котик покинет Activision Blizzard в начале следующего года Бобби Котик, многолетний генеральный директор Activision Blizzard, покинет компанию 1 января 2024 г. после успешного приобретения игрового гиганта компанией Microsoft за 69 млрд долларов. Изначально Котик заявлял, что останется на посту генерального директора до конца 2023 г...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Snapdragon 8 Gen 4 окажется очень мощной. Инженерный образец даже с ограничением частоты до 2,4 ГГц демонстрирует производительность на уровне Snapdragon 8 Gen 2 В Сети появился первый тест инженерного образца будущей флагманской однокристальной системы Qualcomm Snapdragon 8 Gen 4. SoC протестировали в AnTuTu, и она выдала результат в 1,85 млн баллов. Это уровень Snapdragon 8 Gen 2, но есть нюанс: частота CPU не превысила 2,4 ГГ...

Китайские автомобили в России могут получить «кибериммунитет» — новую защиту от взлома «Лаборатории Касперского» Китайские автомобили могут оснащать новой дополнительной защитой со стороны KasperskyOS, о чем пишет «Первый технический» со ссылкой на руководителя департамента платформенных решений на базе KasperskyOS «Лаборатории Касперского» Дмитрия Лукияна....

Nvidia только начала расти? Поставки серверов компании для ИИ в следующем году вырастут на 150% Компания Nvidia уже достигла невероятных высот благодаря ажиотажу вокруг искусственного интеллекта, и в следующем году рост продолжится.   Согласно прогнозам аналитика Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), в 2024 году поставки серверов Nvidia для ИИ в...

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Qualcomm представила новые чипы для аудио с ИИ Qualcomm только что официально представила третье поколение своих чипов для аудио — речь идёт о представителе среднего класса Qualcomm S3 Gen 3 и флагманском S5 Gen 3. Они приходят на замену моделям Gen 2, которые были впервые анонсированы в 2022 году. Оба чипа предлагают бо...

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

Производители электроники начинают переносить мощности из Калининграда Компания «Телебалт», специализирующаяся на контрактной сборке телевизоров, решила перенести производство из Калининградской области в Ленинградскую область, о чем пишет «Коммерсантъ». Владелец компании, Юлия Чинаева, подтвердила, что сейчас произ...

Samsung Galaxy S25 получит сразу два процессора По информации инсайдеров, компания Samsung нацелена в следующем году использовать свою стандартную стратегию с двумя процессорами в серии, представив на различных рынках флагманы на базе процессора Qualcomm Snapdragon 8 Gen 4 или Samsung Exynos 2500. Если верить данным запад...

Крупнейшая атомная стройка в мире. Первый реактор АЭС «Аккую» планируют ввести в строй к концу 2024 года Глава Минэнерго Турции Алпарслан Байрактар заявил на сессии Генеральной конференции Международного агентства по атомной энергии в Вене, что ввод в строй первого реактора АЭС «Аккую» запланирован на конец следующего года. Строящаяся АЭС "Аккую" сейч...

АвтоВАЗ избавляется от комплектующих Renault АвтоВАЗ избавляется от комплектующих и деталей для автомобилей Renault, которые хранились на Опытно-промышленном производстве. Об этом пишет инсайдерский паблик Avtograd News. Изображение: Renault «Опытно-промышленное производство ВАЗа. Сейчас здесь производится ...

Samsung выпустит Galaxy S25 на собственных процессорах Западные журналисты поделились новостью о том, что Samsung планирует полностью отказаться от процессоров стороннего производства в своих флагманских устройствах в ближайшем будущем. Они считают, что вся линейка смартфонов Galaxy S25 будет использовать исключительно свои чипы...

Qualcomm нанесет серьезный удар по MediaTek. Новая SoC Snapdragon среднего уровня покажет производительность на уровне Snapdragon 8 Plus Gen 2 Инсайдер Digital Chat Station раскрыл подробности о будущей однокристальной системе Qualcomm, известной под каталожным номером SM8635. По данным информатора, эта SoC будет производиться по 4-нанометровому техпроцессу, а смартфоны на ее базе будут набирать 1,7 млн балло...

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

Складной iPad может быть анонсирован уже в следующем году Авторы тайваньского ресурса DigiTimes утверждают, что сейчас инженеры Apple активно работают над первым складным устройством компании. Им станет планшет. Складной iPad может появиться уже в конце следующего года.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No commen...

Samsung хочет по примеру Apple превратить свои складные смартфоны в игровые консоли. Для этого компания сотрудничает с Epic Games, Krafton и другими Компания Samsung, видимо, тоже хочет превратить свои смартфоны в более игровые решения по примеру Apple. Для этого корейский гигант заручилась поддержкой таких гигантов, как Epic Games и Krafton.  Со вчерашнего дня в разных странах начали работу команды Samsung Ga...

Apple выпустила iOS 17.5 beta 1 с новыми функциями. Стоит ли обновлять Айфон уже сейчас Сегодня, 2 апреля, Apple выпустила iOS 17.5 beta 1 для разработчиков — долгожданную тестовую сборку, выхода которой давно ждали. Дело в том, что купертиновцы взяли очень большой перерыв — почти в месяц. Хотя обычно между релизом и следующей бета-версией проходит...

Porsche Cayenne 2026 уже тестируется, а флагманский семиместный Porsche K1 ожидается к 2027 году Porsche наконец представит полностью электрический Macan на этой неделе, однако инженеры фирмы уже заняты разработкой старшего брата этой модели — электрического Cayenne. Фотографы-шпионы carscoops засняли модель на тестировании в Швеции, при этом пока что програм...

Спрос на OnePlus Ace 2 Pro удивил саму OnePlus Мы уже писали о том, что вскоре после начала продаж смартфон OnePlus Ace 2 Pro стал хитом продаж на домашнем китайском рынке. Оказалось, что спрос на эту новинку удивил и самого производителя. В OnePlus настолько впечатлились успехами OnePlus Ace 2 Pro, что решили возродить ...

299 л.с. с полным приводом и расходом всего 5,9 л/100 км. Характеристики и стоимость совершенно нового Haval Dargo для России На закрытой конференции для российских дилеров Haval анонсировали совершенно новый Haval Dargo. Производство этого автомобиля в России стартует в августе следующего года, в продажу новинка поступит в октябре.    Так выглядит Haval Dargo второго поколения. Он ...

Правила Евро-7 не страшны легковым машинам. 512-сильный V6 Alfa Romeo будет использоваться и дальше Нормы Евро-7 должны вступить в силу в 2025 году, и автопроизводители стараются гарантировать, что их модельный ряд будет соответствовать новым стандартам выбросов, которые еще даже не доработаны. Последнее предложение оказалось более мягким, чем предыдущие, поскольку он...

Microsoft прекращает поддержку Windows Mixed Reality Microsoft объявила о прекращении поддержки Windows Mixed Reality. Платформа смешанной реальности будет удалена из операционной системы в следующем релизе Windows. Это вполне закономерный итог, поскольку разработка Windows Mixed Reality не ведётся уже несколько лет. Почти вс...

АвтоВАЗ прекратил производство Lada Vesta SW и Lada Vesta SW Cross АвтоВАЗа хватило на месяц с небольшим: производство универсалов Lada Vesta SW и SW Cross стартовало в самом конце октября, а сейчас оно остановлено. Сколько машин с этим типом кузова выпустили за месяц и две недели, неизвестно, но явно немного. Изображение: Lada «...

Qualcomm представила платформу Snapdragon X для конкуренции с Apple Silicon Qualcomm изложила свои планы по выпуску чипов следующего поколения, предназначенных для ПК. Серия Snapdragon X должна выйти в 2024 году. В компании отмечают, что новые чипы станут «переломным моментом для индустрии ПК» благодаря «производительности нового уровня».Читать даль...

Прототипы действительно нового iPhone начнут выпускать уже в декабре 2023. Что известно об iPhone 16 Процесс прототипирования iPhone 16 уже начался, а в Чэнду построена линия по производству прототипов, где уже в декабре начнут собирать первые тестовые образцы. В марте-апреле следующего года планируют определиться с окончательным дизайном. Об этом пишет Mydrivers со сс...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Спасибо, Qualcomm, дальше сами. Huawei с 2024 года перейдет на собственные однокристальные системы Как сообщает ресурс MyDrivers, Huawei запустила процесс расчистки складских запасов в рамках подготовки к выпуску большого количества новинок. Их выход ожидается в конце текущего – начале следующего года. Устройств будет так много, что это называют «наводнен...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

iPhone 17 станет первым смартфоном Apple, разработка которого стартует за пределами Китая Компания Apple всё активнее будет переносить производство своих iPhone из Китая в Индию. Как сообщает аналитик Tianfeng International Минг-Чи Куо (Ming-Chi Kuo), базовый iPhone 17 станет первым смартфоном Apple в истории, разработка которого стартует за пределами Китая....

По одному магазину с «Леопардами» в день. За полгода BYD открыла уже более 150 салонов Fang Cheng Bao Компания BYD объявила, что она открыла 154 магазина бренда Fang Cheng Bao по всей стране, охватывая 81 город в 29 провинциях. С момента открытия первого магазина этим летом бренд открывает в среднем по магазину в день. Нпаомним, BYD Leopard 5 — первая модель брен...

Илон Маск заявил, что Starlink достиг безубыточности. Сегодня это одна из самых дорогих частных компаний в мире Генеральный директор SpaceX Илон Маск заявил, что подразделение спутникового интернета ракетной компании Starlink достигло безубыточности. В 2021 году Маск заявил, что SpaceX выделит и выведет Starlink на биржу, как только денежный поток подразделение станет достаточно ...

«Мы ждём отечественный, нижегородский, бренд», — «Волга» может вернуться в недалеком будущем Губернатор Нижегородской области Глеб Никитин сообщил, что власти региона планируют перезапустить производство автомобилей под собственным брендом. «Автопром, безусловно, окреп, я это абсолютно ответственно говорю. Он был более зависим от конъюнктуры, от различных...

Snapdragon 8 Gen 4 вернёт Qualcomm лидерство? Компания Arm якобы испытывает проблемы с суперъядром Cortex-X5 Согласно свежим данным, у Arm имеются проблемы с разрабатываемым ей суперъядром Cortex-X5.   Проблемы касаются энергоэффективности. Инсайдер Revegnus говорит, что при работе на высокой частоте ядро потребляет слишком много энергии, а при ограничении лимита мощност...

«8 ГБ ОЗУ на MacBook Pro M3, вероятно, аналогичны 16 ГБ в других системах». Apple считает, что малый объём ОЗУ в базовом MacBook Pro не является проблемой Несмотря на то, что новые MacBook получили платформу M3, которая намного производительнее M2, базовые версии всё равно оснащаются всего лишь 8 ГБ оперативной памяти. И пока многие ругают Apple за жадность по этому поводу, сама Apple говорит, что это не является проблемо...

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

«На этот раз возможности камеры OnePlus 12 беспрецедентны и превосходят конкурентов». Анонсирован супердатчик для OnePlus 12, созданный совместно с Sony OnePlus сегодня раскрыла ключевой секрет камеры будущего флагмана OnePlus 12. Как сообщается, аппарат получит качественно новый датчик изображения, разработанный совместно с Sony. Президент китайского офиса OnePlus Ли Цзе (Li Jie) написал по этому поводу в социальной с...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Худшие процессоры MediaTek, способные испортить любой смартфон Компания MediaTek уже давно перестала восприниматься как производитель плохих процессоров для смартфонов. Большинство ее чипсетов, выпущенных за последние 5 лет, почти не греется и демонстрирует отличные показатели мощности, зачастую превосходящие решения Qualcomm в своем к...

Свежие подробности об Айфон 16: новая батарея с защитой от перегрева, крутой процессор и iOS 18 с ИИ Apple умеет держать в секрете свои разработки: так, о компьютерах Mac вообще ничего было известно вплоть до презентации да и о том, какие гаджеты покажут — тоже. Однако это не касается смартфонов компании, инсайды о которых уже захлестнули Интернет. В целом, выглядят ...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Когда нет GeForce RTX 4090, китайцы начинают покупать Radeon RX 7900. Спрос на флагманы AMD создал критический дефицит GPU Navi 31 Пока Nvidia только собирается создать для Китая видеокарту GeForce RTX 4090 D (Dragon), которая не попадёт под санкции США, китайские геймеры стали намного активнее покупать Radeon RX 7900 XT/XTX.  Сообщается, что спрос повысился настолько, что AMD испытывает труд...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Arm с Windows теперь не только для печати текстов? В первых тестах SoC Snapdragon X Elite обходит даже Core Ultra 7 155H Грядущая платформа Snapdragon X Elite X1E80100, предназначенная для ноутбуков с Windows, была протестирована в составе эталонного ноутбука Qualcomm. Оказалось, что как минимум в некоторых тестах эта SoC может конкурировать и даже заметно обходить новейший Core Ultra 7 ...

Российский смартфон «Р-ФОН» оказался копией Nuu A25 Российский смартфон «Р-ФОН» внешне является полной копией устройства под названием Nuu A25, которое предлагается в США. Главный редактор портала Mobiltelefon Евгений Макаров в личном Telegram-канале опубликовал следующее сравнение, которое он прокомментиров...

Нынешний монстр Nvidia H100 уже через два года превратится в позапрошлое поколение. Nvidia опубликовала дорожную карту Компания Nvidia в презентации для инвесторов поделилась дорожной картой для своих продуктов, связанных с ЦОД и ускорением ИИ.   Напомним, на данный момент старшим решением в рамках линейки Hooper является ускоритель H100. На дорожной карте можно видеть также модел...

Установлен новый рекорд: число «пи» с 32 млн знаками менее чем за 3 с на ПК с Intel Core i9-14900K Мировой рекорд SuperPi-32M снова побит. Для этого использовали материнскую плату Asus ROG Maximus Z790 APEX Encore, процессор Intel Core i9-14900K и флагманскую память G.Skill Trident Z5 DDR5. Тест был пройдет менее чем за три минуты. SuperPi-32M — это инструмент...

Как мы не выбрали Airbyte, или почему собирать данные лучше по старинке Привет, Хабр! Меня зовут Илья, я работаю инженером данных в компании Selectel. В отделе BI мы собираем информацию из внутренних и внешних источников и предоставляем аналитикам. У нас достаточно большой набор внешних ресурсов, данные из которых нужно собирать и обрабатывать...

BYD выбрала страну для своего первого европейского завода по производству автомобилей BYD планирует построить свой первый европейский автомобильный завод в Венгрии, что является частью плана китайской компании, которая планирует бросить вызов Tesla в новом регионе. Завод в южном городе Сегед будет производить электромобили и подключаемые гибриды для евро...

«Всемирный автомобиль 2012 года» и «Карманная ракета 2017 года» Volkswagen Up уходит на покой Volkswagen Up был снят с продажи в Великобритании через 12 лет после запуска, поскольку компания готовится окончательно прекратить производство модели к концу 2023 года. В официальном заявлении бренд заявил: «Производство Volkswagen Up и e-Up на заводе Volkswagen ...

Был Cummins, стал КамАЗ. Грузовики «Компас-9» получили новый турбодизель «КамАЗ-590» Компания «Тракс Восток Рус» начала производство среднетоннажных грузовиков «Компас-9» с новым турбодизельным мотором «КамАЗ-590». По данным «Автопотока», в продажу машины с новым двигателем поступят лишь в конце июня....

Microsoft решила выпустить автономный пакет Office 2024 Компания Microsoft активно перемещает свои программные продукты в облако и предлагает их по подписке. Вплоть до того, что многие компоненты Windows в будущем также могут быть перемещены в облако. Однако, возможно, всё не так радужно с таким подходом, потому как, как соо...

Call of Duty и Diablo теперь принадлежат Microsoft. Компания завершила сделку по покупке Activision Blizzard за 68,7 млрд долларов Сделка Activision Blizzard и Microsoft наконец-то закрыта. Глава Microsoft Gaming и подразделения Xbox Фил Спенсер объявил, что Activision теперь является частью Xbox Game Studios.   О сделке было объявлено ещё в январе 2022 года, однако в изначальные сроки её не ...

Представлен Land Rover Defender Octa — новый флагман серии Jaguar Land Rover собирается выпустить самую мощную модель Land Rover Defender в истории, которая получит название Octa и станет новой флагманской моделью серии. Ранее ожидалось, что флагман может использовать давно снятое с производства название SVX, но теперь Jaguar ...

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Одноплатный ПК Raspberry Pi превратили в наручные часы На основе одноплатных компьютеров Raspberry Pi создают самые разные интересные устройства. На этот раз энтузиаст Ким Полссон (Kim Paulsson) использовал микроконтроллер Raspberry Pi RP2040 для создания наручных часов.  RP2040 изначально дебютировал в составе однопл...

Не всё так гладко на рынке электромобилей. Компания Volkswagen опять отложила решение относительно постройки ещё одного завода по производству элементов питания для аккумуляторов На фоне обилия анонсов новых электромобилей, заявлений компаний о том, что будущее уже наступило и законодательных актов, связанных с этим рынком, может сложиться впечатление, что всё развивается прекрасно. Однако, к примеру, Volkswagen Group заявила о том, что решила р...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Небольшой конкурент Samsung по чипам памяти SK Hynix станет победителем в области искусственного интеллекта — Bloomberg Акции Samsung Electronics растут не так быстро, как акции её конкурента по производству чипов памяти SK Hynix. Как пишет Bloomberg, инвесторы делают ставку на то, что именно последняя станет победителем в области искусственного интеллекта. Акции SK Hynix выросли на 67% ...

Какие камеры Apple использует в Айфонах. Ищи свой в списке и будешь знать про него все Представить современный смартфон без модуля с несколькими камерами на задней панели и как минимум одной фронталки в 2023 году просто невозможно. А в 2007 году, когда Apple показала миру первый Айфон, в нем стояла лишь одна основная камера, которая даже не умела записывать в...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Qualcomm крепко взялась за RISC-V. Вместе с другими четырьмя участниками Qualcomm создала компанию Quintauris Компания Qualcomm явно сильно заинтересовалась перспективами архитектуры RISC-V. Сначала стало известно, что новая платформа Snapdragon Wear будет опираться именно на эту архитектуру, а теперь сообщается, что Qualcomm вместе с другими компаниями создаёт компанию Quintau...

Nvidia прекращается в монстра. Доля компании на рынке ЦОД почти втрое превышает совокупную долю AMD и Intel Nvidia — крупнейший на сегодня производитель полупроводниковой продукции, если считать по выручке и прибыли. Об этом мы уже говорили на днях, а теперь появился ещё один наглядный график, демонстрирующий стремительный успех компании.  фото: Nvidia Это график ...

Chery изобрела новую бизнес-модель: «больше заказов — ниже цена». За счет этого ещё не выпущенный гибридный кроссовер Chery Amulet T9 подешевел на 700 долларов Chery запустила новую интересную маректинговую кампанию в Китае, она действует в отношении представленного на днях гибридного кроссовера Chery Amulet T9 (он же Fengyun T9, он же Fulwin T9). Оказывается, озвученная розничная цена в 22 тыс. долларов не была финальной. Ch...

ИИ может потреблять до четверти всей электроэнергии США уже к 2030 году. Такой прогноз озвучил глава Arm Активное наращивание мощностей для обучения ИИ требует всё больше энергии. Согласно некоторым подсчётам, при таких темпах уже к 2030 году данная индустрия может потреблять четверть всей электроэнергии США.  создано DALL-E С таким заявлением выступил глава Arm Рене...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

У смартфонов Huawei пропадёт поддержка приложений Android из-за новой HarmonyOS Компания Huawei объявила, что следующая версия фирменной ОС HarmonyOS уже готова к запуску. При этом HarmonyOS Next представляет собой кардинальное изменение по сравнению с прошлыми версиями.  Дело в том, что HarmonyOS Next представляет собой полностью собственную...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

Apple, а не ждёт ли нас прирост на 5%, как у Intel? Появились характеристики SoC M3, M3 Pro и M3 Max, которые компания может показать уже сегодня Уже сегодня ночью компания Apple проведёт мероприятие, на котором, кроме прочего, как ожидается, анонсирует новые SoC линейки M3.  И свежие данные от журналиста Bloomberg говорят о том, что новые платформы могут оказаться не особо-то и быстрее текущих. По крайней ...

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Может ли Apple создать чудовищную SoC M3 Extreme с более чем 250 млрд транзисторов? Компания лишила M3 Max интерфейса UltraFusion Возможно, в текущем поколении компании Apple всё же выпустит однокристальную систему M3 Extreme, которая будет состоять из двух M3 Ultra. Как минимум косвенно на такую возможность намекают изменения в конфигурации SoC M3 Max.  Apple M2 Ultra; фото: Apple Как показ...

Mercedes-Maybach GLS и BMW X7, посторонитесь: Geely Galaxy Starship с запасом хода 2000 км и расходом 3,0 л/100 км получил уникальные двери, диски, сиденья и другие части Geely сообщила новые детали о флагманском внедорожнике Galaxy Starship, который был представлен на Пекинском автосалоне. Серийная версия поступит в продажу в следующем году и станет конкурентом Mercedes-Maybach GLS и BMW X7. Ожидается, что к моменту выход модель будет н...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Как правильно выбрать смартфон на процессоре Snapdragon и не прогадать После прочтения текста о главном обмане процессоров MediaTek у многих людей могло возникнуть впечатление, будто в чипах MTK сложно разобраться, ведь тайваньская фирма то и дело перевыпускает старое железо. Однако путаница возникает и при беглом взгляде на процессоры Snapdra...

Китайцы снова эксклюзивно получат новый процессор Intel. Это будет 10-ядерный Core i5-14490F Компания Intel снова выпустит для китайского рынка уникальный процессор. Возможно, и не один, но пока появилась информация только о модели Core i5-14490F.  Core i5-14490F — это преемник Core i5-13490F, который также выпускался только для Китая, а до этого та...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

В OpenAI создали «искусственный интеллект, который может угрожать человечеству». Об это стало известно за день до увольнения Сэма Альтмана Прямо перед увольнением генерального директора OpenAI Сэма Альтмана несколько сотрудников написали письмо совету директоров, сообщив о «создании искусственного интеллекта, который может угрожать человечеству», о чем сообщили Reuters два источника, знако...

Доход MediaTek вырос 50% благодаря выпуску Dimensity 9300 Компания MediaTek заявила, что ее доходы от продажи флагманских чипсетов для смартфонов выросли на 50% в этом году, благодаря выпуску Dimensity 9300

Apple может выпустить в 2024 году сразу два iPhone SE 4 с Face ID, Dynamic Island и по низкой цене С 2020 года Apple выпускает ежегодно четыре разных модели Айфона, и покупатели уже к этому привыкли. В линейку входят два базовых смартфона и два Pro. В каждой паре есть устройство с большим и маленьким экраном, поэтому выбор у потребителей очень простой. Однако в этом году...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Так просто AMD сделает новые процессоры из старых. Ryzen 8000G будут моделями, которые могли бы выйти под именем Ryzen 7000G Компания AMD пока так и не представила настольных процессоров Ryzen 7000G, хотя в последнее время о них появляется всё больше утечек. Согласно свежим данным, вполне вероятно, что такие APU всё же не выйдут. Но не потому, что AMD решила от них отказаться, а потому, что в...

Что такое российский электромобиль «Атом», который будут собирать на «Москвиче»? «За рулем» провел первый тест «Атома» Главный редактор «За рулем» Максим Кадаков протестировал будущий российский электромобиль «Атом». Точнее, тестировался гибрид — «мул»: на платформу «Атома» с тяговой батареей, электромотором и подвеской установили ку...

Про сортировку чисел и SIMD или как я обогнал STL в 16 раз Cитуация, когда недостаток производительности пытаются покрыть новым железом, не редка. Важно понимать, однако, что железо, которое мы использовали и используем сегодня, содержит в себе множество механизмов, способных актуализировать наш код на года вперед. В моем понимании ...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Смартфон iQOO 12 засветился на фото В сети появилась первая фотография флагманского смартфона iQOO 12, презентация которого ожидается в следующем месяце вместе со старшей моделью iQOO 12 Pro. Отметим крупный блок тыльной камеры, выступающей за пределы корпуса, металлическую рамку и белую расцветку. По пр...

Apple заработала больше, чем все думали. iPhone снова в фаворе и рвут весь мир Финансовый год традиционно бежит немного вперед календарного. Поэтому не стоит удивляться тому, что в ночь с 1 на 2 февраля Apple отчиталась за уже завершившийся первый квартал. Дело в том, что для нее он стартовал еще в октябре 2023 года, а завершился в конце декабря. Так ...

Apple будет стоить 4 трлн долларов уже через год. Таков прогноз аналитиков Wedbush Компания Apple первой среди публичных выросла до капитализации в 1 трлн долларов в 2018 году, затем достигла отметок в 2 трлн и 3 трлн. Отметку в 4 трлн она может пересечь уже через год.  создано DALL-E Аналитики Wedbush считают, что Apple станет первой компанией ...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Владельцы Pixel 8 могут порадоваться. Google всё же выпустит для этой модели ИИ Gemini Nano Компания Google передумала ограничивать доступность своего искусственного интеллекта Gemini Nano только топовым смартфоном Pixel 8 Pro. Gemini Nano всё же выйдет и на обычном Pixel 8.  Pixel 8 получит данный ИИ в качестве предварительной версии для разработчиков в...

Дурову предлагают $30 млрд за Telegram, а он планирует IPO. Мессенджер может начать приносить прибыль уже в 2024 году Павел Дуров поделился интересными новостями о Telegram в интервью Financial Times. Он отметил, что число пользователей этого мессенджера уже превысило отметку в 900 миллионов человек. Дуров также выразил уверенность в том, что Telegram начнет приносить значительную приб...

Это совершенно новый Kia Carnival. Первые живые фото минивэна следующего поколения Первые фотографии совершенно нового Kia Carnival были опубликованы автомобильным издание thekoreancarblog со ссылкой на Youtube-канал Motorsjason. Ожидается, что автомобиль претерпит большие изменения внутри и снаружи, а также получит гибридную трансмиссию. Обновленный ...

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Apple обещает не снижать производительность iPhone 15 Pro и 15 Pro Max в процессе решения проблемы перегрева Компания Apple решит проблему перегрева iPhone 15 Pro и 15 Pro Max без снижения производительности. Об этом компания заявила в комментариях ресурсу Forbes.  Фото: Apple Как мы уже говорили, Apple винит в перегреве в том числе некоторые сторонние приложения, включа...

AMD FSR наконец-то станет похожа на Nvidia DLSS? Компания заявила, что будет использовать искусственный интеллект в этой сфере Похоже, технология AMD FSR в будущем станет больше похожа на DLSS, что должно сделать её более конкурентной.  AMD сообщила, что активно внедряет искусственный интеллект во все свои продукты, отметив, что ИИ позволит масштабировать производительность игровых решени...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

iPhone 17 Pro Max может получить 48-мегапиксельный «телевик» В iPhone остаётся всё меньше сторонних компонентов. В смартфонах iPhone 17 Pro может появиться чип Wi-Fi собственной разработки Apple Смартфон iPhone 15 Pro Max первым среди аппаратов Apple получил «телевик» с тетрапризмой — аналог перископных модулей...

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

А ведь об Infinix несколько лет назад никто и не знал. Компания готовит игровой смартфон с Dimensity 9300 и внутренним кулером Компания Infinix готовит настоящий флагманский смартфон 2024 года. Как минимум с точки зрения платформы.  Компания заявила, что на MWC 2024 покажет некий аппарат, имени у которого пока нет, основанный на SoC Dimensity 9300. В тестах самой компании этот аппарат наб...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

В России создали первую партию алмазных пластин с заданными свойствами Учёные научно-образовательного центра (НОЦ) «Российская Арктика» в Архангельске создали первую опытную партию из 25 монокристаллических искусственных алмазных пластин с заданными параметрами. По словам научного руководителя НОЦ Марата Есеева, выпуск первой п...

Как будет выглядеть iPhone 16? Этот дизайн мы уже видели Почти за год до выхода iPhone 16 в Сети появились практически все характеристики смартфона. Мы уже знаем и то, какой процессор получит Айфон 2024 года, и тип батареи, и всю информацию о специальных изменениях для работы ИИ-функций iOS 18. Вопрос лишь в том, как будет выгляд...

Microsoft тестирует установку обновлений Windows 11 без необходимости в перезагрузке Мало кто любит обновления в Windows, поскольку они прерывают ваш рабочий процесс или игровую сессию окном с просьбой перезагрузить компьютер для установки очередного ежемесячного накопительного обновления. Это происходит как минимум раз в месяц, поскольку подобные обновлени...

Бельгийский производитель Simera Sense получил $15 млн инвестиций на расширение производственных мощностей для кубсатов с улучшенным разрешением Бельгийский производитель фотоаппаратов Simera Sense привлек почти $15 000 000 на расширение мощностей по сборке систем за пределами Южной Африки и ближе к производителям компонентов в Европе с целью разработки продуктов для кубсатов с более высоким разрешением. Генерал...

Как добавить второй аккаунт в WhatsApp на Android, не клонируя приложение Случилось невероятное! Разработчики самого популярного мессенджера наконец-то добавили возможность использовать два аккаунта Ватсап на одном телефоне. Теперь вы в праве добавить еще одну учетную запись, чтобы таким образом, к примеру, разграничить личную и деловую переписку...

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

В Disney создали HoloTile — интересную технологию для VR и AR. Опубликовано первое видео Компания Disney представила собственное элегантное решение проблемы движения в виртуальной и дополненной реальности, которое получило название HoloTile. Система HoloTile, которую показал в следующем ролике на YouTube научный сотрудник Disney Лэнни Смут, состоит из...

«Решили проблему дефицита и дороговизны запчастей, необходимых для техники бежавших из России брендов», — ЯМЗ адаптировал наши моторы под американские тракторы New Holland Ярославский моторный завод сообщил об адаптации своего дизеля ЯМЗ-7511 для использования в тракторе New Holland TJ 450 американского производства. Новый комплект успешно прошел полевые испытания. В ходе этого процесса были решены две технические задачи: увеличена мощнос...

В Китае представлен «санкционно устойчивый» ноутбук Qingyun L540 – на базе 5-нанометрового процессора Huawei Kirin 9006C Huawei вывела на домашний рынок новый ноутбук – Qingyun L540. Несмотря на название (обычно «пятеркой» обозначаются 15-дюймовые модели) устройство наделено 14-дюймовым экраном. При этом панель IPS вполне современная – с разрешением 2160 х 1440 пик...

Нужно намного больше заводов по производству чипов для ИИ. Глава OpenAI ищет инвестиции для новых фабрик Несмотря на то, что искусственный интеллект в виде ChatGPT, других больших языковых моделей и прочих подобных вариантов на рынке фактически лишь год, уже сейчас дефицит ускорителей для ИИ таков, что очередь у Nvidia растянулась минимум на год. Ситуация явно будет лишь у...

В Telegram появится монетизация от рекламы в каналах Владельцы Telegram-каналов со следующего месяца начнут получать до 50% от дохода с рекламы на их канале. Об этом сообщил Павел Дуров. Речь о той рекламе, которую видят пользователи внизу канала без подписки Premium. Для переводов за рекламу Telegram будет использовать блокче...

Unisoc сделала то, что пока не могут сделать Samsung, MediaTek и Qualcomm. Компания обеспечила поддержку Android 14 на всех своих смартфонных платформах с модемами 5G и LTE Платформы Unisoc – простые и маломощные – используются в бюджетных смартфонах, которые не могут похвастаться выдающимися характеристиками. До недавнего времени и переход на новую версию Android для этих устройств был чем-то очень редким. Но сейчас все измени...

В 2024 году Apple обновит дизайн своих умных часов По данным источников издания Bloomberg, в следующем году компания Apple планирует заметно обновить свои умные часы. Судя по всему, речь идет об Apple Watch Series 10. Гаджет должен выйти в обновленном дизайне и получить несколько новых функций.Читать дальше... ProstoMAC.com...

Это будут недорогие видеокарты Intel нового поколения с 12 ГБ памяти. Адаптеры Battlemage засветились в Сети В Сети засветились видеокарты Intel следующего поколения (Battlemage).   Они появились в базе SiSoftware Sandra. Линейка Xe2-HPG, судя по базе ПО, точно будет включать две модели с 20 и 24 ядрами Xe. Напомним, у Arc A770, которая сейчас является флагманом своей ли...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Microsoft расскажет о новой бизнес-стратегии Xbox на следующей неделе Генеральный директор Microsoft Gaming Фил Спенсер сообщил, что на следующей неделе состоится мероприятие, на котором компания поделится более подробной информации о том, какое будущее ждёт Xbox. Ожидается, что Microsoft расскажет о планах по выпуску собственных игр на игров...

Apple вернет топовый процессор в iPhone 16. Он получит чип A18 как iPhone 16 Pro и 16 Pro Max До сентября 2024 года остается еще больше 9 месяцев, а мы уже знаем об iPhone 16 практически все. Нет, это не Apple допустила так много утечек: просто компания стала слишком предсказуемой, и мы за годы профессионального погружения в ее деятельность научились с высокой долей...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Mazda создала целую команду для разработки роторных двигателей Компания Mazda создала  инженерную команду, специализирующуюся на разработке роторных двигателей. Глава компании, Кацухиро Моро, заявил, что решение было принято после того, как концепт Iconic SP был очень тепло встречен публикой, поэтому он может стать серийным пр...

Apple «перелопатит» почти всю линейку iPhone за два года. iPhone 17 Plus может стать меньше, чем предшественники В текущем году линейка смартфонов Apple существенно изменится, но в следующем она продолжит меняться. В частности, iPhone 17 Plus может стать меньше.  Как сообщает отраслевой аналитик и основатель исследовательских компаний Display Supply Chain Consultants и Displ...

Skoda показала совершенно новую модель автомобиля и предлагает выбрать название. Дата выхода уже известна Skoda официально подтвердила, что компания представит свой новый компактный кроссовер в начале следующего года. Презентация состоится в Индии. Ожидается, что компактный кроссовер появится в автосалонах через год, в марте. Автомобиль будет основан на платформе MQB A0-IN,...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Дефицит ускорителей Nvidia H100 снизился, и компании начали распродавать свои запасы Недавно стало известно, что Nvidia значительно сократила сроки ожидания поставок ускорителей H100. На этом фоне некоторые компании стали распродавать свои адаптеры.  фото: Nvidia Как сообщается, некоторые компании, закупившие ранее большое количество H100, сейчас ...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

iPhone 17 может стать первым устройством с 2-нанометровым техпроцессом Apple упрочняет лидерство в инновациях и переходит к 2-нанометровому процессу.

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Минцифры: «Битвы роботов» могут доехать до Перми Второй этап "Битвы роботов", проходящей в Перми, мог стать лишь началом серии будущих мероприятий, заявил Максут Шадаев, министр цифрового развития, связи и массовых коммуникаций России. Это событие привлекло внимание как участников, так и зрителей, и в следующем году оно мо...

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Hongqi в 2024 году привезёт в Россию компактный кроссовер и минивэн — аналоги BMW X3 и Toyota Alphard. Оба – с 2,0-литровыми моторами и 8-ступенчатыми «автоматами» На данный момент российская линейка бренда Hongqi представлена двумя седанами и двумя кроссоверами, но в следующем году разнообразия будет больше: компания анонсировала две новинки для России – минивэн и компактный кроссовер. Hongqi HQ9. Изображение: Hongqi ...

В коде iOS 18 нашли упоминания четырех iPhone с чипом A18 Сейчас компания Apple активно работает над следующим крупным обновлением iOS. Первая бета-версия iOS 18 должна выйти летом следующего года. Однако у купертиновцев есть внутренние тестовые сборки и, по данным ресурса MacRumors, в одной из них есть упоминание четырех новых iPh...

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Искусственный интеллект Microsoft создал новый перспективный материал для аккумуляторов за 80 часов Искусственный интеллект в его сегодняшнем виде — это не только рисование картинок, создание кода и написание текстов. Его также активно используют в науке. И Microsoft решила показать возможности своей платформы, создав посредством ИИ новый материал, потенциально ...

Huawei P70 получит невероятно мощный процессор Большой спрос на линейку смартфонов Mate 60 в Китае свидетельствует о том, что у Huawei есть достаточное количество потенциальных покупателей для запуска флагманского смартфона P70 в следующем году. Согласно информации, раскрытой китайскими источниками, компания планирует пр...

Один из крупных производителей компонентов для HDD закрывает завод и увольняет около 600 человек Крупный поставщик компонентов для HDD уволил несколько сотен сотрудников и собирается полностью закрыть бизнес.  создано DALL-E Речь о компании Resonac, которая является (или теперь уже являлась) крупным производителем тонких пленок, используемых на поверхности пл...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Спрос на Ford Focus взлетел перед прекращением производства В Европе спрос на Ford Focus резко возрос перед предстоящим прекращением производства данной модели. В прошлом году было продано более 100 тысяч хетчбэков и универсалов, что на 27,6% больше, чем в 2022 году. Хотя Focus не достиг лидерства в этом сегменте, его продажи вы...

Смартфон, ПК и машины подорожают? Сильнейшее за последние десятилетия на Тайване может вызвать новый кризис микрочипов, считает лавред «За рулем» Сильнейшее за последние десятилетия землетрясение на Тайване вынудило компанию TSMC эвакуировать свой завод, о чем пишет главный редактор журнала «За рулем» Максим Кадаков. Фирмы UMC и LASER Technology тоже прекратили работу на некоторых заводах. Поставки м...

В следующем году будет больше флагманов с аккумуляторами ёмкостью 5500 мАч? Это возможно, но речь о необходимости компенсировать потребление Snapdragon 8 Gen 4 Флагманские смартфоны нового поколения, оснащённые SoC Snapdragon 8 Gen 4, могут чаще получать более ёмкие аккумуляторы.   Инсайдер Digital Chat Station говорит, что он знает о тестировании уже трёх аппаратов следующего поколения, и все оснащены элементами питания...

В 2024 году смартфоны сильно изменятся. Ждем массовое развитие ИИ и нейросетей Искусственный интеллект в смартфоне в ближайшее время должен стать не просто массовым, но и очень качественным. Компания Google продемонстрировала наиболее серьезные на сегодня возможности искусственного интеллекта в телефонах на базе Tensor G3, предложив набор интересных ф...

Свежая Snapdragon 7s Gen 2 и перископная камера в смартфоне за 300 долларов? Realme 12 Pro+ частично рассекречен Смартфон Realme 12 Pro+, согласно свежим данным, получит новую SoC Snapdragon 7s Gen 2.   Для сравнения, Realme 11 Pro+ опирается на Dimensity 7050. Новая платформа Qualcomm быстрее примерно на 15% и производится по техпроцессу 4 нм против 6 нм у решения MediaTek. ...

AMD понимает, что длительная поддержка сокетов — её преимущество перед Intel. Платформа AM5 будет поддерживаться до 2025 года и даже после него За некоторыми исключениями компания AMD предпочитает достаточно долго поддерживать свои процессорные сокеты. AM5 не будет исключением — он будет в строю ещё пару лет.  Компания объявила, что по-прежнему придерживается планов по долгосрочной поддержке AM5. В ...

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Toyota GR86 нового поколения получит мотор мощностью более 300 л.с. с гибридным «довеском» как у Land Cruiser 250 По данным японского ресурса BestCarWeb, народный спорткар Toyota GR86 следующего поколения избавится от оппозитного мотора – автомобиль получит рядный бензиновый двигатель в составе гибридной системы (по типу той, что применяется в Land Cruiser Prado 250 и новом L...

Пять новых телевизоров Nokia на подходе StreamView GmbH является одним из многих лицензиатов бренда Nokia. Компания продает смарт-телевизоры и приставки под брендом Nokia в Европе, на Ближнем Востоке и в Африке. Эта австрийская компания собирается обновить линейку смарт-телевизоров Nokia. NokiaMob обнаружил в...

Intel расщедрилась. Функция APO, которая значительно повышает производительность в играх, станет доступна и на процессорах Intel снова выпускает почти то же, что и год назад. Представлена оставшаяся часть линейки процессоров Raptor Lake Refresh Компания Intel всё-таки решила расширить поддержку функции Application Optimization (APO) на более старые процессоры.  Изначально компания зая...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

«О сборке Tiggo 9 речь однозначно не идёт и не шла», — в «Автодоме» не подтвердили планы по выпуску машин Chery на заводе Mercedes Компания «Автодом», которая с апреля этого года владеет активами Mercedes-Benz в России, не подтвердила опубликованную сегодня информацию о подготовке производства автомобилей Chery на заводе в подмосковном Есипово. «О сборке указанных моделей Tiggo 9 ...

Huawei выпустила процессор Kirin 9006C для ноутбуков Сегодня появилась информация от надёжных источников о том, что компания Huawei в ближайшее время планирует стать ещё одним игроком на рынке ARM-процессоров на уровне с Apple, который будет выпускать производительные решения топового уровня. Всё дело в слухах о том, что компа...

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Skoda может уйти из Китая, и это грозит дефицитом автомобилей Skoda в России Ранее автомобили Skoda поставляли из Европы и производили в самой России. Но прошлый год все изменил, и сейчас авто Skoda в основном ввозят из Китая по параллельному импорту. Однако этот ручей скоро может пересохнуть: по данным Automotive News Europe, чешский бренд може...

Так выглядит комплект поставки OnePlus 12 В сети появилась фотография комплекта поставки представленного пару дней назад флагманского смартфона OnePlus 12. Итак, в классическую красную коробку положили кабель USB-С, адаптер питания мощностью 100 Вт, черный чехол, наклейки, скрепку для лотка SIM, документацию. Ранее ...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Не активируется iMessage на iPhone в России. Что делать Вопреки расхожему мнению техника Apple не так идеальна как кажется: ей присущи сбои, особенно, если речь об iPhone после установки iOS 17. В последнее время с этим все чаще возникают проблемы, чего не было даже с Android. Однако некоторые ошибки возникают не по вине Apple и...

Huawei будет самостоятельно выпускать процессоры Новая патентная заявка от компании Huawei свидетельствует о том, что китайский гигант предпринимает дополнительные усилия для уменьшения зависимости от зарубежных компаний и их продукции. Всё дело в том, что новая патентная заявка свидетельствует о желании Huawei построить с...

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

Наше — началось серийное производство российских мониторов «Аквариус» Компания «Аквариус» заявил о начале серийного производства российских мониторов. Доступны две модели с экранами диагональю 27" и 23,8". Обе оснащены антибликовым покрытием, имеют разрешение Full HD и поддерживают технологии Low Blue Light, FreeSync...

«Авиационные кресла», Snapdragon 8155 и полный привод. Раскрыты особенности оснащения Toyota Sienna 2024 Обновленный минивэн Toyota Sienna готовится выйти на китайский рынок, и сейчас дилер раскрыл комплектации машины. Изменения точечные, но они затрагивают разные аспекты. Аспект комфорта — новые «авиационные кресла» на втором ряду (судя по всему, речь и...

Hyundai H-1 снимут с производства. Представлено прощальное издание Final Edition Компания Hyundai прощается с минивэном H-1, представив финальное издание Final Edition (FE). Компании подтвердили, что это последняя партия минивэнов, который снимут с производства в следующем году. Hyundai H-1 Final Edition получит 9-дюймовую мультимедийную систему, бе...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

Для обучения GPT-4 компания OpenAI транскрибировала более миллиона часов видео с YouTube Недавно мы сообщали о том, что компании, создающие большие языковые генеративные модели, сталкиваются с проблемой недостатка качественных данных для обучения своих ИИ. Как сообщается, OpenAI частично решила проблему благодаря YouTube.  создано DALL-E Ещё для обуче...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Huawei сосредоточится на производстве своих ИИ-чипов вместо смартфонов Mate 60 Компания Huawei планирует увеличить производство своего ИИ-чипа Ascend 910B, за счет снижения выпуска смартфонов Mate 60 на одном из своих предприятий. Это решение вызвано низкой производительностью на заводе, где производятся и Ascend и Kirin чипы, используемые в смартфонах...

OnePlus сделала топовый смартфон до 30000 рублей. После него POCO X6 Pro уже не кажется таким крутым В конце прошлого месяца, когда на AliExpress проходила очередная распродажа, я рассказывал о смартфоне OnePlus Nord CE3, который на тот момент казался лучшим предложением в категории до 25 тысяч рублей. И с этим было сложно спорить, ведь за относительно небольшую сумму нам ...

Toyota дешевле китайских аналогов. В России снизились цены на Frontlander В России подешевели новые кроссоверы Toyota Frontlander. Если в ноябре за них просили не менее 3 750 000 рублей, то сейчас стартовая цена составляет 3 500 000 рублей. Такие машины предлагают во Владивостооке, они оснащены гибридной силовой установкой мощностью 196 л.с.,...

Intel рассказала, как искусственный интеллект помогал ей при разработке процессоров Meteor Lake Искусственный интеллект используется во многих сферах, и один из вариантов — разработка новых чипов. Intel поделилась информацией, как использовала ИИ при разработке своих новейших процессоров Meteor Lake.  создано DALL-E Судя по всему, пока ИИ используется ...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Samsung зарегистрировала торговую марку AI Phone Вчера от западных журналистов появилась информация о том, что компания Samsung подала патент не только на название Samsung Glasses для нового шлема виртуальной реальности, но и на названия AI Phone и AI Smartphone. Вероятно, дело в том, что корейский гигант планирует внедрит...

В США пригрозили взорвать TSMC, если Тайвань решит объединиться с Китаем Если подрыв тайваньской компании будет реализован, то это отбросит человечество как минимум на 10 лет в сфере освоения технологий

На радость владельцам процессоров Intel. Функция APO, повышающая производительность в играх, теперь поддерживает 12 новых игр Компания Intel расширила поддержку своей функции Application Optimization (APO), добавив в неё несколько новых игр.  создано DALL-E Функция, напомним, появилась ещё осенью, но всё это время поддерживала лишь две игры: Metro Exodus и Rainbow 6 Siege. Теперь же к сп...

АвтоВАЗ представил новый мотор – 1.8 Evo с вариатором. В декабре соберут 25 машин Lada Vesta 1.8 CVT АвтоВАЗ сегодня представил новый мотор с объемом 1,8 л: двигатель называется 1.8 Evo. В сравнении с 1,8-литровым мотором, который ранее устанавливали на Lada Vesta, мощность не изменилась – все те же 122 л.с., но в конструкции 25 новшеств. Если точнее, то в двиг...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

[Перевод] Генератор случайных чисел, который можно запустить в голове Люди ужасно плохо справляются с придумыванием случайных чисел. Я хотел научиться быстро генерировать «достаточно случайные» числа. Мне не нужно было что-то совершенное, просто способ придумывания случайных цифр за полминуты. Поискав онлайн, я нашёл старый пост в Usenet, нап...

Intel снова может всех запутать. Компания анонсировала процессоры Xeon 6, которые на самом деле не Xeon шестого поколения Xeon Scalable шестого поколения Компания Intel в конце прошлого года изменила принцип именования своих потребительских процессоров. Теперь же ребрендинг коснулся и серверных CPU.  Вчера Intel рассказала немного о новых процессорах Xeon, которые получили имя Xeon 6. К этой линейке относятся не вс...

MediaTek нанесет тройной удар по Snapdragon 8 Gen 3: Dimensity 9300 будет быстрее по части CPU, GPU и блока ИИ Уже на следующей неделе Qualcomm должна представить новую топовую однокристальную систему Snapdragon 8 Gen 3, которая пропишется в большинстве флагманских смартфонов 2024 года. Однако далеко не факт, что эта платформа будет и самой мощной. Наоборот, по словам извес...

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Нет, новая SoC Kirin 9010 не выглядит хорошо даже на фоне старых платформ Qualcomm. Это примерно уровень Snapdragon 888 из 2020 года Платформа Kirin 9010, видимо, всё-таки является лишь немного улучшенной версией Kirin 9000s. А это значит, что она ещё дальше от современных флагманских SoC, чем Kirin 9000s на момент выхода.  фото: Huawei Согласно новым результатам, в Geekbench 6 в однопоточном р...

Экраны вырастут, Dynamic Island, а аккумулятор останется прежним? Появились свежие данные об iPhone 16 Новая линейка iPhone 16, возможно, и не принесёт никаких кардинальных изменений, но всё же изменения будут. К примеру, согласно свежим данным, уменьшится вырез Dynamic Island.   Как мы уже не раз говорили, модели iPhone 16 Pro и 16 Pro Max вырастут в размерах отно...

Samsung вырывается вперёд огромным скачком. Компания готовит к анонсу 290-слойную память V-NAND, а за ней придёт 430-слойная Компания Samsung уже в следующем месяце анонсирует память NAND нового поколения. Это будет V-NAND 9-го поколения с 290 слоями.  Это будет самая многослойная память NAND на рынке, но пока неизвестно, когда на её основе появятся первые SSD. Вполне вероятно, что уже ...

Магистральный КамАЗ К5 импортозамещён 70%. Полная локализация – в 2024 году Есть разные оценки локализации флагманских грузовиков КамАЗ К5, но кому, как не Ростеху, знать об этом лучше остальных: в своем Telegram-канале госкорпорация сообщила, что магистральный КамАЗ К5 импортозамещён 70%. Фото: КамАЗ «Сейчас магистральный тягач поколени...

Mitsubishi выпустит две модели на базе автомобилей Renault Mitsubishi добавит еще две модели от Renault Group, чтобы расширить свой ассортимент в Европе, начиная с компактного полностью электрического кроссовера от подразделения Renault Ampere EV в 2025 году. Японский автопроизводитель вслед за компактным кроссовером выпустит е...

Самые крутые китайские внедорожники BYD Yangwang U8 и BYD Leopard 5 могут официально поставлять в Европу Руководители BYD сообщили, что компания планирует рассмотреть возможность экспорта двух моделей внедорожников в Европу — Yangwang U8 и BYD Leopard 5. BYD подтвердила, что по крайней мере один автомобиль Yangwang U8 был отправлен в Европу и будет использоваться в к...

ОАЭ вложили $5,1 млрд в Yahsat, открывая новые возможности для развития спутниковых услуг Компания объявила о соглашении с правительством ОАЭ сроком на 17 лет, для финансирования двух геостационарных спутников от Airbus, запланированных к запуску в 2027 и 2028 годах. Соглашение включает предварительный платёж в размере $1 млрд долларов в 2024 году, — п...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

Все дружно переходим на Windows-ПК с Arm? Первые тесты Snapdragon X Elite показывают, что это конкурент для Apple M2, Ryzen 7 7840H и Core i7-13700H Похоже, Windows-ПК с Arm действительно могут получить вторую жизнь. В Сети появились первые тесты SoC Snapdragon X Elite, и результаты обнадёживают.  В бенчмарке 3DMark новая платформа Qualcomm показала себя лучше, чем iGPU Radeon 780M! Причём решение Qualcomm опе...

Куо: следующая версия Vision Pro будет содержать минимальные изменения Известный аналитик Минг-Чи Куо поделился своим мнением относительно будущих версий Apple Vision Pro. Он не ожидает, что в ближайшее время компания Apple внесет в гарнитуру какие-то заметные изменения. Кардинальные новшества произойдут только через несколько лет.Читать дальше...

Гайд по Forza Customs: Тюнингуем тачки так, чтобы в конце не остаться у разбитого корыта Forza Customs — мобильный симулятор автомеханика, которому нужно разбирать и снова собирать тачки, делая из них королей дрифта и уличных гонок. Игровой процесс тесно связан с сюжетом, поэтому между тюнингом машин нужно читать короткие диалоги между персонажами на русском я...

Названы самые надёжные двигатели Ford в истории. Новейший рейтинг составлен на основании данных JD Power и Carsurvey Компания Ford завоевала хорошую репутацию благодаря своей линейке надежных двигателей. Например, 5,4-литровый Triton V8 известен как настоящая рабочая лошадка и может проехать более 600 000 км при должном уходе. Также есть множество других надежных вариантов, которые мо...

Скоро на некоторых чипах Apple сможет красоваться надпись Made in USA. В США чипы Apple будет производить TSMC и упаковывать Amkor США нужно ещё 10-20 лет для достижения независимости на рынке полупроводников. Так считает глава Nvidia В ближайшее время некоторые платформы Apple будут полностью производиться в США.  Компания сообщила, что станет первым и крупнейшим заказчиком нового завода Amk...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Audi отказывается от своей запутанной схемы именования автомобилей Audi запутала поклонников в 2017 году, когда представила двузначную схему именования всей линейки. Терминология основана на мощности: от «30» для автомобилей мощностью 109-128 лошадиных сил до «70» для автомобилей мощностью не менее 536 л.с. Комб...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Как узнать сколько времени осталось до полной зарядки iPhone С момента выхода самого первого Айфона владельцы смартфонов Apple, подключающие их к зарядному устройству, никогда не знали, сколько времени уйдет на полную зарядку. Например, Самсунги умеют показывать эту информацию на экране блокировки, а модели, поддерживающие Always On ...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Sony дразнит анонсом Xperia 3, а от Xperia 5 могут отказаться Компания Sony через свой подразделение опубликовала тизер с цифрой 3, который может намекать на анонс смартфона под названием Xperia 3. Кроме того, инсайдер Zacbucks в сообщении на Weibo оставил следующий пост «серия Xperia 5, RIP 2024». Однако он не привел ...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Наконец-то действительно новые процессоры AMD. Компания подтвердила выход CPU на архитектуре Zen 5 в этом году Компания AMD сегодня не только отчиталась за финансовый год, но и подтвердила примерные сроки выхода новых процессоров Ryzen.   Сотрудник Tom’s Hardware говорит, что AMD подтвердила выход процессоров на архитектуре Zen 5 во второй половине текущего года. Точ...

Вот как должен был выглядеть Apple Car, каким он мог быть внутри и почему его проект закрыли В конце февраля этого года неожиданно для всех выяснилось, что Apple закрыла проект собственного автомобиля и направила сотрудников, которые его создавали, в отдел разработки ИИ. Компания проспала начало эпохи генеративных нейросетей и теперь пытается всячески наверстать уп...

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Нужно срочно бежать за SSD? Аналитики прогнозируют рост цен на память NAND ещё на 50% или даже выше Цены на SSD в следующем году, похоже, вырастут сильнее, чем предполагалось.   создано DALL-E Если ранее были данные о росте цен на память NAND на 10-20%, то теперь аналитики TrendForce говорят о полуторакратном росте или даже более. Сообщается, что производители п...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Представлены экономичные версии Mitsubishi Xpander и Xpander Cross Компания Mitsubishi скоро запускает продажи гибридных версий своих кросс-вэнов Mitsubishi Xpander и Xpander Cross в Таиланде. Автомобили производятся на местном заводе марки в Лаем Чабанге. Mitsubishi Xpander сочетает в себе комфорт и универсальность минивэна с характер...

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

Санкции США против Китая угрожают Nvidia, AMD и ASML Как пишет Seekingalpha, новые правила США об экспорте чипов в Китай вряд ли окажут сильное краткосрочное влияние на такие компании, как Nvidia и AMD, но в долгосрочной перспективе картина может быть совсем иной. Nvidia и ASML заявили, что эти правила окажут минимальное ...

Ноутбук с Windows и процессором MediaTek или Exynos? Вскоре на рынке могут появиться такие продукты В скором времени на рынке могут появиться ноутбуки с Windows и процессорами MediaTek. Или Exynos.  Как сообщил генеральный директор Arm, в конце этого года закончится соглашение между Microsoft и Qualcomm, согласно которому, ПК с Windows Arm могут быть основаны то...

Samsung Galaxy S25 и прочие будущие флагманы могут подорожать из-за «удивительного уровня производительности» Snapdragon 8 Gen 4 На состоявшемся на этой неделе мероприятии под названием Snapdragon Summit 2023 компания Qualcomm представила топовые однокристальные системы Snapdragon 8 Gen 3 (для смартфонов) и Snapdragon X Elite (для ноутбуков под управлением Windows). Также в компании сообщили, что...

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

В 2024 году начнут выпускать обновленный Aurus Senat. Ждем как минимум «подтяжку лица» Седан Aurus Senat производится с 2018 года, видимо, настало время рестайлинга. Обновленную машину начнут выпускать в следующем году. Фото: РИА Новости / Александр Гальперин «В следующем году в мае будет запущено производство обновленной версии автомобиля», ...

Нынешние монстры Nvidia H100 и H200 померкнут на его фоне. Компания дразнит мощью грядущего ускорителя B100 Компания Nvidia представила сегодня ускоритель H200, который впервые для такого решения получил память HBM3e. На фоне H100 новое решение в ряде задач может быть почти вдвое быстрее. Но и H200 померкнет на фоне B100, согласно обещаниям Nvidia.  Компания решила, что...

Связка Samsung Galaxy и MediaTek. MediaTek предложила корейскому гиганту скидки на свои платформы Компания Samsung в своих смартфонах использует самые разные платформы, начиная от Unisoc и заканчивая Qualcomm. В том числе используются и чипы MediaTek, хотя в последнее время довольно редко. Как сообщает инсайдер Revegnus, MediaTek предложила корейскому гиганту специа...

Пока Nvidia взлетает всё выше, акции Apple упали до рекордного минимума в этом году В последние несколько недель цена акций Apple падала, достигнув вчера, 5 марта, минимума за год, закрывшись на отметке $170,12. Инвесторы пессимистично оценивают перспективы компании по ряду причин. На ключевом китайском рынке продажи iPhone обваливаются. Инвесторы обес...

Флагманский Xiaomi 14 Ultra оснастят сразу четырьмя камерами на 50 Мп С момента официального дебюта смартфонов Xiaomi 14 и 14 Pro прошло немного времени, но уже появились захватывающие новости о следующем флагмане компании — Xiaomi 14 Ultra.

АвтоВАЗ начал выпускать Lada Vesta без подушек безопасности Как сообщил паблик Avtograd News, АвтоВАЗ с сегодняшнего дня начал выпускать Lada Vesta без подушек безопасности. Связано это с дефицитом этих систем безопасности. «АвтоВАЗ с 11 декабря 2023 года из-за нехватки комплектующих начал выпуск автомобилей Vesta без под...

5 лучших способов сделать Smart TV из обычного телевизора Несмотря на то, что сегодня никого не удивишь телевизором со Smart TV, в наших домах продолжают оставаться устройства, которые нельзя назвать умными. А ведь порой так хочется включить YouTube на большом экране, посмотреть фильм в онлайн-кинотеатре или в конце концов во что-...

Анонсирован новый полноразмерный внедорожник Chevrolet Tahoe. Модели этого семейства снялись в тысячи фильмов и сериалов General Motors анонсировала новый полноразмерный внедорожник Chevrolet Tahoe в Китае, подтвердив, что компания начнёт предварительные продажи на Пекинском автосалоне на следующей неделе. С момента появления этого автомобиля и его родственной модели Suburban он появился ...

Какие смартфоны получат Андроид 15, и когда выйдет обновление Google уже выпустила первую бета-версию Андроид 15 для разработчиков, но она традиционно не отличается стабильностью, поэтому ее устанавливать не стоит. Лучше подождать до релиза, хоть он и состоится уже осенью, зато операционка будет работать намного стабильнее. Вот только...

«Если вам нравится мастерить и чувствовать боль, купите красный». Tiny Corp всё же будет продавать свой ИИ-ПК с шестью Radeon RX 7900 XTX Раньше видеокарты у геймеров "отбирали" майнеры, а теперь пришёл черёд специалистов по ИИ? Tiny предлагает систему для работы с ИИ на основе шести Radeon RX 7900 XTX Компания Tiny Corp снова изменила свои планы относительно выпуска TinyBox на основе видеокарт...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Чипы-гиганты площадью почти 7000 кв.мм с потреблением в несколько киловатт. Новая версия технологии CoWoS позволит создавать такое к 2027 году Компания TSMC уже через несколько лет сможет выпускать гигантские чипы, которые будут превосходить текущих рекордсменов более чем вдвое.  фото: AMD Новая версия технологии упаковки CoWoS позволит TSMC уже через два-три года выпускать чипы с корпусами размером 120 ...

Смартфоны Samsung Galaxy S24 сертифицировали в США В базе данных американского регулятора FCC появились упоминания флагманских смартфонов Samsung Galaxy S24, Galaxy S24 Plus и Galaxy S24 Ultra, релиз которых ожидается в начале следующего года. Устройства имеет каталожные наименования SM-S921U и SM-S921U1, SM-S926U and SM-S92...

Эти смартфоны Xiaomi получат HyperOS в ближайшее время. Компания опубликовала планы на грядущий квартал Компания Xiaomi опубликовала планы на второй квартал относительно выпуска HyperOS на свои смартфоны и планшеты.  В период с апреля по июнь включительно новую оболочку получат следующие модели:  Xiaomi 11 Ultra  Xiaomi 11T Pro  Mi 11X  Xiaomi 1...

Apple первой почувствует рост стоимости 2-нм чипов TSMC Как следует из отчета аналитиков IBS, 2-нм пластины TSMC для производства чипов могут стоить до 30 000 долларов. Это на 50% дороже, чем пластины текущего поколения, стоимость которых колеблется в пределах $20 000. Ощутимее всего подорожание отразится на Apple, первой заказав...

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Вот это поворот. АвтоВАЗ откажется от своего бестселлера — Lada Granta снимут с производства в 2024 году АвтоВАЗ снимет с производства модель Lada Granta, которая является самой массовой и доступной моделью марки. Об этом пишет Quto со ссылкой на президента компании Максима Соколова. «В самом конце 2024 года планируется выпустить народный автомобиль Lada Iskra, котор...

«Аквариус» создаст ещё одну российскую ОС на базе Android Российский производитель «Аквариус» готовит собственную мобильную операционную систему на основе открытого кода AOSP (Android Open Source Project), о чем пишет «Коммерсантъ». Одна из ведущих российских IT-компаний создает новую операционную систе...

Skoda официально будет покорять Казахстан с Octavia, Kodiaq, Kamiq и Karoq. Компания планирует выпускать 200 000 машин ежегодно Компания Skoda официально подтвердила предыдущую информацию о том, что она собирается начать производство автомобилей в Казахстане. Крупнейший производитель автомобилей в Чешской Республике планирует вернуться в Казахстане, откуда компания ушла в 2021 году, сразу с четы...

Снова эта навязчивая реклама Windows 11. Microsoft вернулась к старой практике, активно предлагая пользователям Windows 10 обновиться Следующая версия Windows на подходе. Qualcomm заявила, что новая ОС выйдет в середине года Компания Microsoft, похоже, решила вернуться к своей практике навязывания обновлений Windows. Пользователи Windows 10 снова начали получать всплывающие оповещения с предложениями...

Что известно про Айфон 16, и каким он будет? Мы знаем практически все его новые функции Apple только-только представила линейку iPhone 15 и инфополе не успело остыть от всевозможных обсуждений новинки, как спустя несколько месяцев уже начали появляться слухи об iPhone 16. Смартфон в 2024 году получит ряд серьезных нововведений, которые затронут и внешний вид А...

Карты Visa и MasterCard могут выйти из оборота в России в 2025 году, по прогнозу гендиректора Национальной системы платежных карт Гендиректор Национальной системы платежных карт Владимир Комлев прогнозирует, что карты Visa и MasterCard могут выйти из оборота в России в 2025 году. Пока что на них приходится порядка 40% всего российского оборота по банковским картам, однако эта доля будет снижаться,...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Samsung оставила сотрудников полупроводникового подразделения без годового бонуса. А бонусы Samsung порой огромны Компания Samsung отменила все бонусы для своих сотрудников полупроводникового подразделения из-за плохого окончания 2023 финансового года.  создано DALL-E У Samsung есть традиция выплачивать бонусы в конце года сотрудникам всей компании в знак благодарности за хор...

Gmail исполняется 20 лет Уже завтра почтовому сервису Google Gmail исполнится 20 лет.  Сервис был запущен 1 апреля 2004 года, и шуткой вовсе не был. Зато пресс-релиз был довольно необычным и странным, если оценивать его сегодняшними мерками. Там Google говорила, что вдохновением для создан...

От этого Power Bank можно быстро зарядить даже MacBook Pro 16. Его мощность 145 Вт Внешний аккумулятор – это такая вещь, которая нужна каждому современному человеку. Выбор — покупать Power Bank или нет — не стоит почти ни перед кем. И даже несмотря на то, что в крупных городах его можно взять за небольшие деньги в аренду на каждой станции метр...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

В России выйдут 7 моделей нового бренда Chery Nev и 3-4 модели Chery в 2024 году Компания Chery, которая недавно сообщила о запуске нового бренда Chery Nev, подтвердила, что в следующем году на российском рынке появится семь новых моделей. По словам руководителя марки Chery Nev Дмитрия Максимова, пять из них будут гибридами, а две - электромобилями:...

Samsung представит новую память GDDR7 Если верить инсайдерам, компания Samsung планирует представить свои самые быстрые модули памяти GDDR7 следующего поколения уже в следующем месяце — они будут поставляться с пиковой скоростью до 37 Гбит/сек для графических процессоров следующего поколения. На текущий момент S...

Вышла iOS 17.1 Release Candidate с новыми функциями. Официальный релиз — 24 октября Сегодня, 17 октября, Apple выпустила финальную бета-сборку iOS 17.1 Release Candidate, которая традиционно отличается стабильностью и исправленными ранее ошибками. Тестирование первого крупного апдейта iOS 17 заняло всего несколько недель, что нехарактерно для купертиновцев...

Cтарые ядра Corte-A75, поддержка только Wi-Fi 5 и eMMC. Представлена формально новая платформа MediaTek Helio G91 Компания MediaTek представила новую однокристальную систему семейства Helio без поддержки 5G. Новинка называется Helio G91.  Это решение для недорогих смартфонов без поддержки сетей пятого поколения. К сожалению, ядра в основе новой платформы лежат вовсе не новые....

Xiaomi выпустила долгожданные смартфоны Xiaomi 14 и 14 Pro, сделав лучшие флагманы без косяков 26 октября в Китае состоялась презентация Xiaomi 14, на которой китайская компания показала новое поколение своих флагманских смартфонов. По традиции вместе с базовой версией была представлена модель с приставкой «Pro», а выпуск Xiaomi 14 Ultra отложили до следующего года. ...

Глава Intel заявил, что Nvidia просто повезло занять трон на рынке ИИ, и сама Intel вполне могла бы быть там Компания Intel решила снова словесно напасть на Nvidia. Недавно глава Intel Пэт Гелсингер (Pat Gelsinger) заявил, что вся индустрия хотела ликвидировать CUDA, а теперь тот же Гелсингер заявил, что все текущие достижения Nvidia — это просто везение.   Дженсен...

Миссия New Horizons продлена: NASA продолжит исследование пояса Койпера до конца 2020-х годов 29 сентября NASA объявило, что продлит миссию New Horizons, которая на данный момент была запланирована лишь до конца 2024 финансового года. Связь с аппаратом будет поддерживаться пока он не покинет пояс Койпера, что ожидается в конце десятилетия. Главным фокусом миссии...

Bloomberg: Зеленский принял решение об отставке Залужного в самый неподходящий момент для ВСУ Смотреть в будущее могут не только лишь все

LG открывает завод по производству зарядных устройств для электромобилей Компания LG Electronics (LG) расширяет инфраструктуру решений для зарядки электромобилей (EV), открыв свой первый завод по производству зарядных устройств для электромобилей за пределами Южной Кореи, где в прошлом году компания запустила предприятие для изготовления зарядны...

В России создают чёрный список YouTube-блогеров. В него будут попадать те, кто сознательно не работает в российских соцсетях В России в скором времени появится чёрный список блогеров, использующих YouTube.  создано DALL-E Как сообщает ТАСС, Общественная палата (ОП) РФ начинает работу над созданием некоего черного списка российских блогеров, ведущих каналы на платформе YouTube.   Ра...

По-настоящему уникальный смартфон. Как минимум старшие модели линейки Oppo Find X7 получат и дюймовый датчик Sony LYT-900, и две перископных камеры Компания Oppo подтвердила, что её флагманские смартфоны Find X7 получат новый дюймовый датчик Sony LYT-900.  Компания не уточняет, но считается, что такой датчик получат только модели Ultra, тогда как базовый Find X7 будет довольствоваться каким-то иным сенсором. ...

Рост потребления пластин NAND у Samsung, но компания сохраняет осторожность Samsung наращивает производство пластин NAND, но при этом сохраняет осторожность, опасаясь влияния на цены. Ожидается подорожание NAND в следующем квартале.

Смартфоны Huawei Mate 60 «взорвали рынок». Huawei резко увеличила план по выпуску новых смартфонов Из-за высокого спроса на серию Mate 60 компания Huawei поставила цель по поставкам смартфонов в следующем году на уровне 100 миллионов единиц. Это на 40% больше, чем компания планировала до выпуска серии Mate 60. Лукас Чжун, аналитик Canalys, подтвердил, что новые проду...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)