Социальные сети Рунета
Четверг, 9 мая 2024

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Непримечательная компания захватывает рынок: рост акций на 390% благодаря буму искусственного интеллекта и запатентованной технологии герметизации чипов Одним из бенефициаров растущего спроса на память с высокой пропускной способностью, вызванного развитием искусственного интеллекта, является компания Towa Corp. из Киото. Компания является производителем оборудования для микросхем, отвечающих потребностям разработч...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Новейший завод TSMC в «режиме спринта», с опережением графика, начнёт производство новейших чипов Apple Огромный завод TSMC в Аризоне ускоряет темпы подготовки к производству. Как пишет gizmochina, завод находится в «режиме спринта» и планирует начать пробное производство на своей первой производственной линии к середине апреля этого года. Если все пойдет глад...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Intel завершает установку первого станка для производства чипов EUV Компания Intel завершила процесс установки литографической машины High-NA EUV, полученной от ASML. Технологический гигант будет разрабатывать технологии 14A на этих дорогостоящих машинах в 2025 году.

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

Производитель ABS «Итэлма» наладит в России выпуск печатных плат Производитель автоэлектроники «НПП Итэлма», который занимается выпуском систем ABS, планирует запустить в России производство печатных плат, о чем пишет «Коммерсантъ» со ссылкой на заявление самой компании. Компания планирует вложить порядка...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Volkswagen и Stellantis будут использовать в своих машинах новую китайскую платформу Leapmotor Stellantis, итало-американская группа производителей автомобилей, подпишет инвестиционное соглашение с Leapmotor, китайским стартапом по производству электромобилей. Это соглашение будет включать лицензирование платформы LEAP 3.0 для новых автомобилей Stellantis. Leapmo...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Нестинг в 3D-печати     3D-печать является одной из самых быстро развивающихся технологий в сфере производства. Регулярно публикуются статьи о новых методах и технологиях печати, которые позволяют внедрять аддитивное производство во всё новые сферы. Кроме того 3D-печать становится более доступн...

УАЗ «Патриот» получит обновленный двигатель уже в декабре. Что заменили? Автомобили УАЗ получат обновленный двигатель с другими звездочками привода газораспределительного механизма (ГРМ). «Коломенский завод порошковой металлургии» в городе Коломна Московской области запустил серийное производство комплектующих для новых двигателе...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

«Автотор» готовится начать производство российских электромобилей: что это за машины? Глава холдинга «Автотор» Валерий Горбунов в интервью РИА Новости подтвердил готовность начать производство электромобилей в следующем году. Работа над созданием собственного электромобиля идет в графике. Он создается на базе технологически независимой платфо...

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

Haval выпустила почти 100 000 машин в России в прошлом году Подробности о результатах работы китайской компании Haval в России опубликовал инсайдерский телеграм-канал «Автопоток». Российский завод Haval Motor по итогам 2023 года смог увеличить производство в 2,5 раза и приблизиться к отметке в 100 тысяч собранных авт...

Microsoft представила DirectSR API, упрощающий интеграцию технологий масштабирования в игры Microsoft анонсировала DirectSR — новый API-интерфейс Windows, с помощью которого разработчикам игр будет значительно проще интегрировать технологии масштабирования изображения (Super Resolution) в свои проекты. Компания считает, что DirectSR API является «недостающим звено...

Нидерланды начали мешать ASML поставлять оборудование в Китай Нидерландская компания ASML, крупнейший производитель литографического оборудования, необходимого в том числе для производства интегральных схем, заявила о приостановке экспорта части оборудования в Китай. Это связано с санкциями США.

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Microsoft DirectSR вскоре появится во множестве игр Месяц назад на конференции разработчиков Game Developers Conference 2024 было представлено новое технологическое решение под названием Microsoft DirectSR. Это своеобразная попытка упростить реализацию методов масштабирования изображения, которая была разработана в сотрудниче...

Apple представит iOS 18 с поддержкой ИИ на WWDC 2024 На всемирной конференции разработчиков WWDC 2024 будет представлено самое крупное обновление в истории Apple — iOS 18 с поддержкой ИИ. Как заявляет журналист Марк Гурман из Bloomberg, всё дело именно в искусственном интеллекте, который предоставит совершенно новые функции Si...

Новейшие российские автомобили уже в продаже: за «Амберавто А5» просят больше, чем ожидалось Новейшие российские автомобили производства завода «Автотор», которые получили бренд «Амберавто», уже начали появляться у дилеров Как пишет инсайдерский телеграм-канал «Автопоток», у одного из дилеров «Автотора» в Калининг...

Не одной Nvidia единой. Microsoft будет использовать чудовищные ускорители AMD Instinct MI300X, имеющие по 192 ГБ памяти каждый Компания AMD получила очень крупного клиента для своих ускорителей Instinct MI300X. Этим клиентом стала Microsoft.  фото: AMD Вчера Microsoft не только представила свои собственные процессоры Azure Maia 100 AI Accelerator и Azure Cobalt 100, но и объявила о том, ч...

Российская компания создала технологию быстрого обучения нейросетей для беспилотного транспорта Компания Cognitive Pilot, совместное предприятие Сбера и Cognitive Technologies, разработала нейросеть для обучения автопилотов с искусственным интеллектом для умного транспорта и сельхозтехники. Чтобы гарантировать безопасность движения, системе нужно учесть практическ...

OnePlus 12 и OnePlus 11 получили новые функции генеративного ИИ Вслед за Galaxy S24 новые функции ИИ стали появляться и в других смартфонах. Так, например, OnePlus внедрила их в OnePlus 12 и OnePlus 11 c обновлением прошивки. Технологий три, называются они AI Summariser, AIGC Remover и Article Summaries. Первый генерирует сводки по...

«Никаких лидаров», «Это затея дураков». Tesla и Илон Маск, критиковавший лидары в машинах, стали крупнейшими клиентами Luminar Компания Luminar, производитель лидаров для машин, подтвердила в своем финансовом отчете, что Tesla была ей крупнейшим клиентом в прошлом квартале, принеся ей более 10% выручки за этот период. Учитывая, что квартальный доход Luminar составил 21 миллион долларов, то вкла...

MediaTek Dimensity 9400 появится в десятках новых смартфонов Совсем скоро в релиз должен отправиться новый мобильный процессор Dimensity 9400 от компании MediaTek. И если не считать компании Google и Apple, MediaTek уже заключила контракты со всеми крупными производителями смартфонов о поставках нового флагманского процессора, но сего...

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

В России подешевели Chery, Exeed, Jetour Dashing и Dongfeng, а также «Москвич 6» В 2024 году многие автокомпании в России решили не повышает цены среза после праздников. В первой половине месяца подорожали автомобили всего двух брендов, в то время как цены на машины пяти брендов снизились. Например, сразу после Нового года седан «Москвич 6&raq...

Каждый запрос в ChatGPT обходится OpenAI в 4 цента. Компания думает выпуске собственных ИИ-чипов Использование ChatGPT обходится компании OpenAI очень дорого. Согласно данным аналитика Bernstein Стейси Расгон, каждый запрос стоит примерно 4 цента. Поэтому компания OpenAI изучает возможность создания собственных чипов искусственного интеллекта, о чем пишет ...

Reuters: Samsung может использовать технологию SK hynix для увеличения производства памяти HBM Ключевая технология, используемая для производства чипов искусственного интеллекта, является причиной того, что крупнейший в мире производитель памяти, корейская компания Samsung Electronics, отстает от конкурентов из своей страны и США

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Innodisk выпустил решение MIPI over Type-C от для расширенного применения ИИ-зрения Innodisk, ведущий мировой поставщик решений для искусственного интеллекта, объявил о начале выпуска первого в отрасли решения MIPI over Type-C. Эта технология позволяет преодолеть традиционные ограничения длины кабеля MIPI, позволяя размещать встроенные модули камер дальше о...

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

«Аквариус» и Росатом хотят выпускать микроконтроллеры для шифрования данных По сообщению издания «Коммерсантъ», Росатом и «Аквариус» создают совместное предприятие по выпуску микроконтроллеров для шифрования данных в вычислительной технике. Об этом изданию рассказал источник, знакомый с проектом, но сами компании информа...

У Tesla есть процессор размером с iPad, который потребляет 15 кВт мощности. Стали известны подробности нового чипа Dojo У компании Tesla есть собственный суперкомпьютер Dojo, который уникален тем, что основан на чипах собственной разработки Tesla. Но компания уже занимается процессорами Dojo нового поколения, и это решение гигантских размеров.  Фактически новый чип Dojo — это...

Стилус Apple Pencil 3 сможет вибрировать? Новинке приписывают технологию тактильной обратной связи Уже 7 мая Apple представит новые планшеты, а с ними, вероятно, и новый стилус Apple Pencil 3. Согласно свежим данным, это будет первый стилус компании с тактильной обратной связью.  фото: Apple Наличие такой вибрации позволит расширить возможности цифрового пера, ...

Amazon внедряет технологию для устойчивого управления отходами Amazon активно работает над сокращением мусора, который генерируется в процессе деятельности компании. Компания заявляет, что в 2021 году использовала около 97 миллионам килограммов одноразового пластика в упаковке своих товаров. Однако, некоммерческая организация Ocean...

Бывший российский завод Michelin будет выпускать шины двух новых марок На территории бывшего Michelin в посёлке Давыдово, Московская область, готовится к старту производство шин под марками Selna и Farlight, как сообщает телеграм-канал «Автопоток». В марте 2022 года французский гигант шинного производства объявил о приостановке...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

АвтоВАЗ открывает передовую школу гибридных технологий «ГибридТех» АвтоВАЗ и Тольяттинский государственный университет объединяют усилия для создания передовой инженерной школы гибридных технологий под названием «ГибридТех». Компания сообщила, что на проект будет выделено около 3 миллиардов рублей до 2030 года, при этом 55%...

Представлен уникальный снегоход «Резвый». Создатели уверяют, что аналогов нет, а собирать его будут на 100% из российских автокомпонентов В России создан электрический гусеничный снегоход «Резвый», который предназначен для использования в экстремальных условиях. Разработчики утверждают, что аналогов в России сегодня нет, а собирать его будут полностью из российских автокомпонентов. Снегоход ра...

OnePlus Pad 2 готовится к релизу В феврале прошлого года компания OnePlus официально представила свой первый планшет под названием OnePlus Pad — с того времени прошло уже больше года, так что было бы логично выпустить вторую версию. И сегодня появились первые данные о том, что планшет OnePlus Pad 2 будет вы...

«Микрон» нашел партнеров для повышения импортозамещения на производстве микросхем Крупнейший производитель российской микроэлектроники «Микрон» подтвердил, что компания нашла двух партнеров для повышения уровня импортозамещения при производстве микросхем. Соглашение, которое подписано с Центральным конструкторским бюро «Дейтон»...

Samsung хочет возглавить рынок объемом 100 млрд долларов. Чипы 3D DRAM могут иметь ёмкость до 100 ГБ Компания Samsung Electronics нацелилась на то, чтобы стать лидером на рынке памяти 3D DRAM. Это объявление было сделано на конференции Memcon 2024, где компания представила ряд новинок. Поскольку ожидается, что во второй половине этого производство DRAM будет вестись по...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

В России освоили новую технологию производства прочных стекол для поездов и истребителей В России освоили уникальную малозатратную технологию изготовления стекол для транспорта, в том числе для поездов и истребителей. Об этом рассказал начальник сектора научно-исследовательской лаборатории предприятия Дмитрий Чечин, который добавил, что технологией создания...

Первую в истории стиральную машину с рекордной степенью чистоты на 10 и 12 кг белья выпустила не Xiaomi — представлена TCL Super T7H Сегодня состоялась конференция TCL 2024 Super Bath, на которой была представлена стиральная машина Super T7H. Производитель заявил, что новые технологии позволили добиться самого высокого в отрасли коэффициента очистки 1,2. Так называемый коэффициент очистки предусмотре...

Nvidia снова наступает на те же грабли? Представлена технология G-Sync Pulsar, но для её реализации мониторы должны иметь специальный чип Nvidia Компания Nvidia представила на CES 2024 новый этап развития технологии G-Sync — G-Sync Pulsar.   Похоже, что под новым именем в целом скрыты «старые» технологии: собственно, сама G-Sync, то есть переменная адаптивная кадровая частота монитора, и U...

Первый складной iPhone выйдет вместе с Samsung Galaxy Z Fold 9 и Flip 9. К его разработке подключили часть создателей Vision Pro Apple значительно отодвинула свои планы по выходу на рынок складных смартфонов, о чем сообщает издание DigiTimes со ссылкой на собственные источники. Если верить DigiTimes, Apple отложила выпуск своего первого складного iPhone с четвертого квартала 2026 года на первый к...

В iPhone и iOS 18 не будет собственного чат-бота Apple по типу ChatGPT Марк Гурман из Bloomberg сообщил, что Apple не планирует использовать собственного чат-бота с генеративным искусственным интеллектом в iOS 18 для iPhone и других операционных системах. Он подтвердил ранее опубликованную информацию, что Apple провела обсуждения с такими ...

Нидерландская Yandex N.V. продаёт бизнес Яндекса за 475 млрд рублей группе частных инвесторов Яндекс выпустил официальный пресс-релиз, посвящённый смене владельца. Ранее об этом стало известно из Единого государственного реестра юридических лиц (ЕГРЮЛ), а теперь компания подтвердила сведения о смене юрлица и раскрыла некоторые подробности. Сообщается, что нидерл...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Неужели артефакты на фотографиях с iPhone станут историей? Apple тестирует новую технологию объектива iPhone 16 Pro Apple тестирует новую технологию покрытия объектива камеры для iPhone 16 Pro и iPhone 16 Pro Max, о чем сообщают источники внутри компании Речь идёт о технологии атомно-слоевого осаждения (atomic layer deposition, ALD), которая позволяет наносить материал по одному атом...

В России впервые создали технологию и установку по производству филамента для ракетно-космической и авиационной отраслей В России впервые разработали опытно-промышленную технологию изготовления филамента — материала, используемого для 3D-печати — из непрерывного углеродного волокна на основе термопластов. Кандидат технических наук, заведующий лабораторией «Полимерные ком...

Let Loose: анонс новых iPad Pro, iPad Air, Magic Keyboard и Apple Pencil займет 35 минут Во вторник, 7 мая, Apple проведет первую в этом году пресс-конференцию «Let Loose». Компания собирается представить новую линейку iPad Pro и iPad Air. Планшеты iPad Pro получат OLED-дисплеи, новый, более тонкий корпус и будут работать на чипе M4. iPad A...

255-дюймовый телевизор Samsung отображает машины в натуральную величину. Это уже помогает Lucid Lucid Motors сообщила об использовании в работе 255-дюймового телевизора Samsung The Wall, который помогает автопроизводителю быстрее проектировать автомобили, поскольку он настолько велик, что может отображать их в натуральную величину. По словам Samsung, The Wall испо...

На Rostelecom Tech Day представят отечественную ОС «Аврора» 5.0 Пресс-служба «Ростелекома» сообщила, что на конференции  Rostelecom Tech Day публике впервые представят пятую версию отечественной операционной системе «Аврора».  Конференция пройдёт 8 декабря 2023 года на площадке «Цифровое дело...

От 2,6 млн рублей. Главный редактор «За рулем» спрогнозировал стоимость «Москвича 8» Московский автозавод анонсировал новую модель в своей гамме — им оказался довольно крупный (длина — 4824 мм, колесная база — 2830 мм) «Москвич 8». Главный редактор «За рулем» Максим Кадаков опубликовал качественные изображе...

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Это первый ноутбук с Intel Core HX 14-го поколения и RTX 4060. Появились фотографии Lenovo Savior Y7000P Компания Lenovo объявила сегодня о скором выпуске нового поколения игрового ноутбука Savior Y7000P, который поступит в продажу в январе. Он получил новый корпус и свежий процессор. Игровой ноутбук Savior Y7000P 2024 получил новую конструкцию с увеличенным задним воздух...

В России предложат китайский грузовик Beiben 6x6 с кабиной от Mercedes-Benz Российский производитель специализированной техники «УралСпецТранс» объявил о планах по расширению своего модельного ряда, о чем пишет «Автопоток». Компания намерена начать выпуск спецмашин на базе китайского грузовика Beiben 6x6, который получит...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Производство карт «Тройка» стало полностью российским В Москве открыли первую в России линию по корпусированию микросхем для карт «Тройка». Производством занимается компания «Микрон Секьюрити Принтинг», расположенная в особой экономической зоне «Технополис Москва». Ранее компоненты для б...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Конференция разработчиков Microsoft Build 2024, возможно, пройдёт с 23 по 25 мая Похоже, ежегодная конференция разработчиков Microsoft Build 2024 пройдёт с 21 по 23 мая. Об этом сообщает известный инсайдер WalkingCat. Официального подтверждения со стороны Microsoft пока не было. Напомним, что в прошлом году мероприятие проходило с 23 по 25 мая, так что ...

Конференция разработчиков Microsoft Build 2024, возможно, пройдёт с 21 по 23 мая Похоже, ежегодная конференция разработчиков Microsoft Build 2024 пройдёт с 21 по 23 мая. Об этом сообщает известный инсайдер WalkingCat. Официального подтверждения со стороны Microsoft пока не было. Напомним, что в прошлом году мероприятие проходило с 23 по 25 мая, так что ...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

NASA представило новые результаты концепта «Луна - Марс» NASA представило результаты своего архитектурного концепта «Луна - Марс» 2023 года. Этот обзор является частью процесса агентства, направленного на разработку дорожной карты для исследования солнечной системы, включая планы по пилотируемым миссиям на Марс. ...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Компания NEARITY представила новое ВКС-оборудование для образовательных учреждений и конференц-залов Компания NEARITY, производитель и поставщик инновационных решений для видеоконференцсвязи, представила в России новые устройства для оснащения переговорных комнат, конференц-залов и аудиторий, — микрофонный массив A31S и комплект потолочных микрофонов AMix140 KIT. Благодаря ...

Новейший Tank 300 с 3,0-литровым турбомотором V6 стал хитом в Китае: заказов в 3,5 раза больше, чем количество машин в первой партии Бренд Tank поделился первыми итогами предпродажи новейшего Tank 330 (это версия Tank 300 с 3,0-литровым турбомотором V6 мощностью 354 л.с.). Автомобиль, напомним, представили в минувшую субботу, а уже сейчас, за 72 часа с момента премьеры, объем заявок на покупку достиг...

КамАЗ будет развивать водородные технологии ПАО АФК «Система» и КамАЗ заключили соглашение о сотрудничестве в области развития водородных технологий. Стороны объединят свои усилия для создания серийных продуктов, использующих водород, таких как грузовые автомобили, складская техника, водные автобусы-э...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

В России освоили и ввели в производство критическую технологию для газовых турбин Александр Конюхов, генеральный директор АО «Силовые машины»: «Открытие производства литых лопаток газовых турбин — это знаковое событие для «Силовых машин» и всей отрасли. Новое производство основано на принципе 100% импортозамещения: наши специалисты разработали и внедрили ...

Впервые в России нейросеть помогла разработать антифриз Российская компания Sintec, крупный производитель моторных масел и смазочных материалов, и автопроизводитель «Соллерс» сообщили, что первыми среди отечественных предприятий применили искусственный интеллект при разработке охлаждающей жидкости. Впервые в прак...

Одна из крупнейших инвестиций в истории Honda: компания потратит 14 млрд долларов на новый завод в Канаде Honda Motor собирается инвестировать почти 14 млрд долларов в строительство завода по производству электромобилей в Канаде, о чем сообщает Nikkei. Этот завод может включать собственное производство аккумуляторных батарей для машин. По словам источников, этот проект...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Apple уже год тестирует языковую ИИ-модель Ajax, которая дебютирует в iOS 18 Apple планирует анонсировать серию генеративных инструментов на основе искусственного интеллекта на своей Всемирной конференции разработчиков (WWDC) в июне, о чем сообщает Марк Гурман из Bloomberg . Гурман подтвердил, что Apple готовит ряд нововведений на базе искусстве...

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Google представила Gemini — «убийцу» ChatGPT Компания Google официально объявила о запуске собственной системы искусственного интеллекта Gemini. По заявлению генерального директора Google Сундара Пичаи (Sundar Pichai), который провёл презентацию новейшей разработки, Gemini 1.0 на данный момент является самой мощно...

SAIC и GomSpace North America запустят мощный кубсат, объединяющий искусственный интеллект и машинное обучение Американский оборонный подрядчик SAIC заключил свой первый контракт с Пентагоном на интеграцию небольшого спутника в партнёрстве с производителем космических аппаратов GomSpace. Согласно контракту, стоимость которого не разглашается, SAIC будет разрабатывать и развёртыв...

Использование 3D печати в разных отраслях 3D оборудование постепенно становится все более доступным и завоевывает популярность не только у любителей, но и в производственной среде. 3D печать используется для производства продуктов в разных отраслях - здравоохранении, моде, автомобильной и строительной промышленности...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

OpenAI представила инструмент, полноценно клонирующий голос: Voice Engine достаточно 15-секндного семпла OpenAI объявила о запуске нового инструмента под названием Voice Engine. Это технология клонирования голоса, которая позволяет имитировать речь любого человека после анализа 15-секундного аудиосэмпла. Компания заявляет, что Voice Engine генерирует «естественно зву...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

MediaTek Dimensity 9400 получит новое производительное ядро Cortex-X5 В ноябре прошлого года компания MediaTek официально выпустила процессор Dimensity 9300, представив уникальный дизайн с четырьмя производительными ядрами Cortex-X4 — обычно производители используют всего одно такое ядро. Соответственно, в отличие от традиционных конфигураций ...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

Материнская плата «шиворот-навыворот» MSI Z790 Project Zero поступила в продажу: 360 долларов за разъемы с обратной стороны MSI представила топовую материнскую плату Z790 Project Zero на выставке CES 2024 в начале года, а сейчас она поступила в продажу в Китае. Особенность этой модели, напомним, состоит в разъемах, которые выведены на обратную сторону, что дает очень красивый вид системы вну...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Началось производство обновлённого Volkswagen Golf — спустя 50 лет после выхода оригинальной машины. Названы лучшие модели VW Volkswagen отчиталась о начале производства рестайлинговой версии Volkswagen Golf восьмого поколения. Первой машиной стал синий хетчбэк в топовой комплектации Style в цвете Anemone Blue Metallic. Новую модель можно узнать прежде всего по измененной передней части. Он по...

США запретили Intel и Qualcomm поставлять чипы Huawei: «Это укрепит национальную безопасность США и урежет возможности коммунистического Китая» США отозвали лицензии, которые позволяли компаниям, включая Intel и Qualcomm, поставлять чипы, используемые для ноутбуков и мобильных телефонов, китайскому производителю телекоммуникационного оборудования Huawei Technologies, попавшему под санкции, о чем пишет Reuters. ...

В России создали безмасочный нанолитограф Ученые Санкт-Петербургского политехнического университета Петра Великого (СПбПУ) создали две установки, которые позволят «решить вопрос технологического суверенитета России в этом направлении в сфере микроэлектроники». Это установка безмасочной нанолитографи...

Samsung и ARM начнут совместную работу над созданием следующего поколения процессора Cortex-X Новое решение должно будет помочь с внедрением технологий искусственного интеллекта в мобильные устройства

Apple Готовит к релизу новые процессоры M4 Если верить поставщикам секретной информации, на текущий момент компания Apple усердно работает над следующим поколением своих процессоров из семейства M4. Вероятно, производитель выпустит сразу три модели процессоров, так что у потенциальных покупателей будет приличный выбо...

В России начнут собирать машины нового бренда. Авто Haima продавались в России с 2011 по 2016 года, а сейчас сотрудничество переходит на новый уровень «МВЛ Авто» — импортёр и дистрибьютор Haima — планирует наладить производство автомобилей этого китайского бренда в России. «МВЛ авто» подписала соглашение с логистической компанией Fesco, которая обеспечит поставку в Россию и страны С...

Ростех разработал 25-мегаваттный промышленный двигатель для топливно-энергетического комплекса По сообщению госкорпорации «Ростех», «Объединённая двигателестроительная корпорация» в декабре текущего года завершит испытания первого опытного образца нового индустриального двигателя АЛ-41СТ. Эта разработка мощностью 25 МВт предназначена для и...

ASML предупреждает: если США продолжат усиливать санкции относительно Китая, это может плохо сказаться на бизнесе компании и её партнёрах Компания ASML, являющаяся крупнейшим в мире, а в некотором смысле и единственным, производителем современных систем для выпуска чипов, заявила, что дальнейшее ужесточение санкций со стороны США может сильно навредить компании.  Геополитическая напряженность может ...

В эпоху бурного развития ИИ память HBM стала новым золотом: SK Hynix сообщила, что все объемы выпуска HBM на 2024 год и на большую часть 2025 года распроданы SK Hynix устами своего генерального директора сообщила о том, что вся объемы памяти HBM, которые будут выпущены в 2024 году, уже распроданы. Более того, распродана и большая часть объема HBM, который произведут в 2025 году. Ранее похожее заявление сделала Micron, но тол...

Samsung и SK Hynix приостановили продажи подержанного оборудования из-за возможных санкций США Производитель микросхем Samsung Electronics и южнокорейская SK Hynix прекратили продавать бывшее в употреблении оборудование для производства микросхем, опасаясь нарушения экспортного контроля США в отношении Китая и западных санкций в отношении России, о чем сообщила г...

Вот бы такую память для GeForce RTX 5090. Samsung изготовила первый 16-слойный стек памяти HBM Компания Samsung изготовила первый образец 16-слойного стека памяти HBM.   Образец работал нормально, но пока что компания не собирается запускать такие чипы в массовое производство. Судя по всему, массовыми такие стеки станут уже с выходом HBM4, а до этого ещё ми...

V8 и V12 останутся еще надолго: Aston Martin будет выпускать машины с ДВС пока это будет разрешено законом Aston Martin отложила свои планы по выпуску своего первого электромобиля с 2025 на 2027 год и соответственно увеличила инвестиции в гибридную технологию. По словам исполнительного председателя Aston Martin Лоуренса Стролла, «всегда будет спрос» на автомобили...

«Это огромная аудитория, которую можно охватить», — Hyundai предложит свои машины 150 млн клиентов Amazon Prime Начиная с будущего года, в зависимости от вашего местоположения, пользователи смогут добавить Hyundai Ioniq 5 и другие машины в корзину на Amazon, наряду с обычными товарами, такими как домашние гаджеты, книги и часы. Генеральный директор Hyundai Motor America, Рэн...

Китайские автомобили в России могут получить «кибериммунитет» — новую защиту от взлома «Лаборатории Касперского» Китайские автомобили могут оснащать новой дополнительной защитой со стороны KasperskyOS, о чем пишет «Первый технический» со ссылкой на руководителя департамента платформенных решений на базе KasperskyOS «Лаборатории Касперского» Дмитрия Лукияна....

Эта технология создана специально, чтобы подслушивать владельцев смартфонов для формирования рекламы. Разработка CMG называется Active Listening В Сети можно найти очень много историй о том, как людям выпадает реклама того, о чём они недавно говорили, что якобы указывает на то, что смартфоны слушают своих пользователей и передают эти данные для формирования рекламы. Компании в этом, конечно, не признаются, но по...

Производитель твердотопливных ракетных двигателей X-Bow Systems получил контракт от ВВС США Трёхлетний контракт является частью соглашения общей суммой на $60 млн, анонсированного в апреле 2023 года. Контракт с X-Bow включает в себя $30 млн финансирования от ВВС США и $30 млн инвестиций от частных инвесторов. X-Bow будет работать в рамках программы AFRL &mdash...

RTM-сборку платформы для Windows 11 версии 24H2 могут подписать в ближайшие пару недель Редактор Windows Central Зак Боуден сообщает, что RTM-сборка платформы Germanium, лежащей в основе Windows 11 версии 24H2, может быть подписана в ближайшие пару недель. Это означает, что OEM-производители, такие как Samsung, Lenovo или HP, смогут начать тестировать новую ве...

NVIDIA готовит релиз видеокарт на 3 нм уже в 2024 году Можно с уверенностью сказать, что на текущий момент компания NVIDIA является ведущим производителем графических ускорителей, используемых для технологий на базе искусственного интеллекта. И останавливаться на достигнутом производитель не собирается, так как уже в следующем г...

ASUS анонсировала спецверсию GeForce RTX 4090 без кабеля дополнительного питания ASUS представила свои новые продукты с поддержкой стандарта BTF, который позволяет видеокартам работать без кабеля дополнительного питания и переносит почти все интерфейсы на обратную сторону материнской платы

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

В России перезапускают завод Hyundai Steel: сталь нужна для новых Solaris Корейский производитель стали Hyundai Steel сообщил о том, что компания перезапустит свой завод в России. Об этом пишет инсайдерский телеграм-канал «Автопоток». Компания возобновит на российской площадке выпуск автомобильного стального проката, поскольку зак...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

TSMC якобы не торопится с внедрением оборудования для High-NA EUV Экстремальное ультрафиолетовое излучение (EUV) с высокой числовой апертурой (High-NA). Компания Intel Foundry Services (IFS) станет одним из первых производителей полупроводников, которые начнут работать на новейшем оборудовании ASML

Huawei Pura 70 на 90% состоится из китайских деталей. Huawei движется к 100% Новый флагман Huawei, серия Pura 70, получил более 90% компонентов китайского производства, за исключением процессора и основной камеры самой дорогой модели. Разборка, проведенная японской исследовательской фирмой Fomalhaut Techno Solutions, показывает, что китайские по...

Снова люди будут бояться создания чёрной дыры на Земле? CERN продвигают проект нового ускорителя частиц, который будет в семь раз мощнее БАК Большой адронный коллайдер (БАК) является самым крупным ускорителем частиц в мире. Он останется таковым ещё долго, но в CERN уже продвигают планы по созданию намного более крупного коллайдера.  создано DALL-E Изначально CERN обнародовал планы по созданию нового ус...

МТС планирует выпускать до 20 тысяч базовых станций в год Компания «Иртея» (дочерняя структура оператора МТС) планирует с 2025 года выпускать по 10-20 тысяч базовых станций ежегодно. Об этом рассказал президент МТС Вячеслав Николаев на форуме «Телеком 2024». По его словам, в 2024 году МТС планирует собр...

На китайском авторынке появятся сотни «заводов-зомби», считает Financial Times Стремительный переход на электромобили в Китае привел к серьезным проблемам для производителей традиционных автомобилей с двигателями внутреннего сгорания (ДВС). Как сообщает Financial Times, на китайском рынке в ближайшее время могут появиться сотни так называемых &laq...

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Компания «Лазерные системы» займется 3D-печатью деталей двигателей и газовых турбин Санкт-Петербургский разработчик и производитель промышленных 3D-принтеров «Лазерные системы» откроет центр аддитивных технологий на базе собственного производства на площадке «Нойдорф» особой экономической зоны в Стрельне. Предприятие планирует наладить аддитивное производст...

iOS 18 будет делать упор на ИИ-технологиях Буквально вчера вечером компания Apple официально объявила дату своего следующего крупного мероприятия — 10 июня начинается ежегодная конференция компании для разработчиков WWDC, на которой, как ожидается, будет представлена iOS 18, релиз которой должен состояться осенью тек...

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Tesla может начать продажи роботов Optimus в 2025 году, а уже в этом году они начнут трудиться на заводах После публикации финансовых результатов Tesla за первый квартал 2024 года Маск сообщил обновленную информацию о сроках внедрения гуманоидного робота Optimus. Генеральный директор заявил, что Optimus уже выполняет заводские задачи в своей лаборатории. Он считает, что к к...

КамАЗ готовит крутой беспилотный самосвал, который составит конкуренцию БелАЗу, а также машинам Komatsu, Caterpillar и Liebherr КамАЗ создает новый особо тяжелый грузовик – с грузоподъемностью 220 тонн. За счет беспилотных технологий такой самосвал можно будет использовать в экстремальных условиях. Но и на обычных производствах такая модель тоже пригодится: беспилотник позволит увеличить п...

BMW представляет новейшие автомобильные технологии: искусственный интеллект, поддержка геймпадов Xbox и PlayStation, автопарковщик следующего поколения Компания BMW во время выставки CES покажет последние достижения компании в области умных кабин, умного вождения и других областях. Согласно официальному сообщению, BMW создает новое поколение персонального помощника, которое будет умнее предыдущего. Оно основано на техн...

Производство легендарной «Буханки» модернизировали Ульяновский автозавод модернизировал производство СГР или «Буханка», о чем сообщает инсайдерский Telegram-канал «Автопоток». На производстве автомобилей семейства «Буханка»/«Головастик» внедрили роботизированную завальцовк...

Завод «Микрон» запустил линию по сборке микросхем в пластиковых корпусах Завод «Микрон», российский производитель микроэлектроники, запустил две производственных линии: новую линию по сборке микросхем в пластиковых корпусах и дополнительную линию сборки чип-модулей. Микросхемы в пластиковом корпусе – это новая для завода пр...

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Освоить 2,2 млрд рублей: в России наладят производство комплектующих для КамАЗ, Aurus и сельскохозяйственной спецтехники «Муромский машиностроительный завод» благодаря займу создаст производства импортозамещающих комплектующих для электробусов КамАЗ, автомобилей Aurus и сельскохозяйственной спецтехники. «Фонд развития промышленности профинансировал юбилейный 1500-й проек...

«Самый востребованный в России легкий коммерческий автомобиль». АвтоВАЗ выпустит 80 машин Lada Largus до мая На заводе «Лада Ижевск» до конца этой недели соберут опытную партию Lada Largus по полному циклу производства. Как сообщает главный редактор журнала «За рулем» Максим Кадаков, первыми будут пять коммерческих фургонов, а всего до мая будет со...

Max Space объявила о планах запуска самого крупного в истории модуля МКС Стартап Max Space обнародовал планы по разработке надувных модулей, которые, по мнению компании, можно сделать больше и дешевле, чем альтернативы, для расширения коммерческих космических станций и других применений. Max Space разрабатывает серию расширяемых модулей, пер...

На Lada Granta начали устанавливать «автомат» Toyota АвтоВАЗ все никак не освоит производство хотя бы вариаторных трансмиссий, но частные фирмы уже научились устанавливать на Lada Granta классические «автоматы». Причем речь идет об очень надежной четырехступенчатой трансмиссии Aisin. Изображение: Lada Передел...

Google представила свой новый процессор Axion, и это снова Arm Компания Google представила свой собственный процессор под названием Axion. Название, видимо, давно в честь аксионов — гипотетических элементарных частиц, которые, кроме прочего, могут составлять тёмную материю.  Google Axion создан на основе архитектуры Arm...

Завод, выпускающий Solaris в России, попросил Hyundai возобновить местное производство стального проката и двигателей «Автомобильный завод АГР», который возобновил выпуск машин под новым брендом Solaris в Санкт-Петербурге, обратился к партнёрам Hyundai с целью начала сотрудничества по поставке стального проката и двигателей. Издание The Korean Economic Daily подтверждает ин...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Xiaomi официально представила свою новую ОС – HyperOS. Какие устройства получат первыми и когда Китайская компания Xiaomi представила на сегодняшней презентации HyperOS – это новый пользовательский интерфейс Xiaomi с обновленными системными приложениями и анимацией. Изначально планировалось представить MIUI 15, но позже были внесены изменения. Название MIUI...

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Chery может начать масштабное производство в Италии: речь о сотнях тысяч машин Итальянское правительство ведет переговоры с китайским автопроизводителем Chery Auto о возможности организации производства на территории страны. Целью является увеличение национального выпуска автомобилей до 1,3 млн единиц в год с текущих 800 тысяч. Министр промышленно...

В Белоруссии начали выпускать обновленный Geely Emgrand. 122 л.с., «автомат», обновленная медиасистема и новый цвет как у Geely Tugella Как сообщает ресурс «Китайские автомобили», в Белоруссии на заводе «БелДжи» под Минском начали собирать обновленные седаны Geely Emgrand. Они получили новый мотор, новую коробку передач, обновленную медиасистему и ряд других новшеств. Автомобиль...

Это как будто бы Nvidia, AMD и Intel объединили DLSS, FSR и XeSS. Microsoft рассказала, что такое DirectSR, который будет интегрирован в Windows 11 Компания Microsoft рассказала, что такое DirectSR, о котором мы узнали буквально на днях.   Оказалось, что это не какая-то новая технология апскейла от самой Microsoft, а нечто даже более интересное.   Microsoft DirectSR представляет собой API, который позвол...

24 года спустя. Honda Prelude вернется в 2025 году с силовой установкой от Honda Accord Известно, что Honda работает над возвращением в линейку купе Prelude, а сейчас появились новые подробности. По данным японского ресурса BestCarWeb, стоимость машины на домашнем рынке будет находиться в пределах от 27 325 до 29 300 долларов, то есть Prelude ок...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Компания ASML Holding NV представила свой новый аппарат для создания чипов Новинка стоит порядка 380 миллионов долларов и позволяет производить 8-нанометровые чипы

Представлены OLED-мониторы Samsung Odyssey нового поколения Компания Samsung Electronics представила новое поколение OLED-мониторов Odyssey, которые можно будет увидеть вживую на выставке CES 2024 в Лас-Вегасе на следующей неделе. Линейка будет включать модели: Odyssey OLED G9 (модель G95SD); Odyssey OLED G8 (модель G80SD)...

Первый на Xiaomi HyperOS. Объём запасов Xiaomi 14 будет очень большим Объем запасов серии флагманских смартфонов Xiaomi 14 будет очень большим, а внутренняя уверенность компании в новую линейку является максимальным. Об этом сообщил инсайдер Digital Chat Station. Xiaomi 14 станет первым смартфоном компании, который получит новую операцион...

В Китае появился собственный литографический сканер выпуска чипов по 28-нм техпроцессу. А как же санкции? На днях китайская компания Shanghai Micro Electronics Equipment Group (SMEE) анонсировала создание первого китайского литографического сканера, который предназначен для выпуска чипов по 28-нм техпроцессу. Собственно, об этом проекте стало известно раньше, но тогда многие экс...

Hyundai обещает и дальше выпускать новые модели ДВС, несмотря ни на что Старший вице-президент Hyundai по продукции Олабиси Бойл пообещал, что компания продолжит выпускать новые модели с бензиновыми двигателями. Он добавил, что новые правила EPA по выбросам, которые считают самыми строгими из когда-либо введенных, не заставят компанию измен...

Tesla действительно будет отливать днище автомобилей единой деталью Tesla действительно работает над модернизацией своей технологии «гигакастинг» (gigacasting), позволяющей отливать под давлением все детали днища автомобиля как единое целое, о чем сообщило в среду, 27 сентября, издание Shanghai Securities News со ссылко...

Кроссоверы Jetour начнут выпускать в Калининграде. Первая модель — Jetour Dashing Drom.ru раскрыл подробности запуска производства кроссоверов Jetour в России. По словам заместителя генерального директора «Джетур Мотор Рус» Михаила Роткина, выпуском автомобилей китайского бренда займется калининградский «Автотор» — он же...

Radix продолжает успешно развивать новые зоны Компания Radix, администратор ряда новых доменных зон, опубликовала отчёт о продажах премиум-доменов за второй квартал 2023 года. Доход от премиум-доменов за отчётный период составил $4,8 млн, что на 28% превысило показатели второго квартала 2022 года, а также показатели пе...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

Как наушники работают через Wi-Fi и для чего это нужно Многие не серьезно относятся к портативному аудио, думая, что звук из телефона — это что-то обыденное и не требующее улучшения. Мол, звучит и ладно, музыку же слышно. На самом деле, даже небольшие изменения могут очень серьезно поменять качество звука даже в самых простых н...

Cognizant внедрила технологию Just Walk Out от Amazon в Canberra Institute of Technology Student Association Cognizant, одна из ведущих в мире компаний по предоставлению профессиональных услуг, объявила о своем избрании Canberra Institute of Technology Student Association (CITSA) на роль провайдера услуг в области системной интеграции и платежной системы для внедрения технологии ко...

Не Toyota Alphard, но в чём-то даже лучше. Представлены VIP-версии микроавтобусов «Соболь NN» и «ГАЗель City» Как сообщает «Автостат», компания «Современные транспортные технологии» представила новые модификации микроавтобусов «Соболь NN» и «ГАЗель City» – в VIP-версиях. «Соболь NN» в таком варианте рассчитан на ...

В Rutube, Premier и Yappy начали тестировать создание ИИ-контента с минимальным участием человека Студия нейропродашкена D.lab, которую «Газпром-Медиа Холдинг» запустил в сентябре 2023 года, представила первые демо-образцы AIGC – мультимедийного контента разных форматов и жанров, произведенные искусственным интеллектом с минимальным участием челове...

Французская компания Latitude привлекла $30 млн на разработку малой ракеты-носителя Французская компания Latitude, специализирующаяся на разработке небольших ракетных двигателей, привлекла $30 миллионов инвестиций для производства своих первых ракет. Это объявление было сделано 22 января, когда компания объявила об успешном раунде финансирования серии ...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Самый большой внедорожник Haval начнут выпускать в России. Продажи нового Haval H5 стартуют в августе 2024 года, цена уже озвучена Haval на закрытой дилерской презентации озвучила план начать сборку на российском заводе под Тулой большого внедорожника Haval H5 второго поколения. Сейчас такую машину ввозят в страну по параллельному импорту, но в 2024 году можно будет приобрести внедорожник уже росси...

Huawei уже заменила компанию Nvidia в Китае: она поставляет ИИ-чипы для Baidu Китайская компания Baidu заказала чипы, предназначенные для использования с ИИ-приложениями, у Huawei, вместо традиционного американского поставщика Nvidia. Это решение было принято после введения США санкций, запрещающих американским компаниям поставлять подобные проду...

Bosch окончательно уходит из России. Заводы по выпуску систем ABS и ESP уже проданы, на очереди заводы по производству бытовой техники Как сообщает «Коммерсантъ», компания Bosch веред переговоры по продаже своих российских заводов по производству бытовой техники. Раньше в переговорах участвовала китайская Hisense, но как сообщил источник «Коммерсанта», турецкий инвестиционный фо...

Производитель российских электромобилей Evolute может начать выпуск бензиновых машин Сегодня компания «Моторинвест» сообщила о запуске серийного производства электрического кроссовера Evolute i-SKY на собственном заводе в Липецкой области. Во время общения с журналистами заместитель генерального директора предприятия Сергей Мамонтов зая...

Директор завода рассказал, когда кроссоверы «Москвич 5» начнут массово сходить с конвейера Российский автомобильный завод «Москвич» сейчас готовится к запуску новой модели. Как ожидается, ей станет кроссовер «Москвич 5». Директор автозавода по производству Олег Масляков рассказал подробности о модели и сроках запуска в интервью «...

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Samsung разрабатывает технологию питания чипа на обратной стороне (BSPDN) BSPDN - под подачей питания на обратной стороне понимается метод прокладки линий электропитания на задней стороне полупроводникового чипа или интегральной схемы

Первые покупатели начнут получать гуманоидного робота Tesla Optimus уже в 2025 году В мире технологий наступает новая эра: Tesla планирует начать поставки своего первого гуманоидного робота Optimus уже в 2025 году.

Наконец-то беспроводные наушники будут поддерживать Lossless. Представлены SoC Qualcomm S7 и S7 Pro Gen 1 с поддержкой Micro-power Wi-Fi Компания Qualcomm представила однокристальные системы Qualcomm S7 и S7 Pro Gen 1, которые должны существенно изменить рынок беспроводных наушников.  Новинки представляют собой звуковые платформы, главной особенностью которых является поддержка технологии, которую ...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Смешанная реальность, 3D-дисплей без очков, множество технологий и новинок для дома и автомобильного бизнеса: что покажет BOE на CES 2024 С 9 по 12 января в Лас-Вегасе, США, откроется пройдет Международная выставка бытовой электроники CES 2024, в которой примет участие компания BOE. На выставке CES 2024 компания BOE представит множество инновационных технологий и экранов, в том числе ЖК-дисплеи с использо...

Honor анонсировала флагманский смартфон Honor Magic 6 с управлением взглядом Компания Honor анонсировала сегодня, 26 октября 2023 года, свой новый флагманский смартфон на саммите Qualcomm Snapdragon 2023 года. Главной изюминкой Honor Magic 6 станет функция, позволяющая взаимодействовать с устройством с помощью глаз. Во время своего выступления ...

Продажи машин в России взлетели Продажи автомобилей за первые два месяца этого года очень сильно выросли, о чем пишет инсайдерский телеграм-канал «Автопоток». По итогам января-февраля 2024 года в России продали 221 261 новых автомобилей, +64% относительно января-февраля 2023-го. Такие данн...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Мишустин подтвердил выделение дополнительного миллиарда на ИИ Председатель Правительства Российской Федерации Михаил Мишустин заявил, что власти выделили дополнительные средства на развитие технологий искусственного интеллекта. Большая часть средств пойдет на гранты малым предприятиям. «На поддержку искусственного интеллекта...

В России представили первый сервер отечественного производства на базе процессоров Intel Xeon нового поколения Российская компания разработчик и производитель IT-оборудования Delta Computers представила Delta Serval, первый в России сервер на базе процессоров Intel Xeon Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids. Как подчеркнули в пресс-службе, он по...

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

У крупнейшего производителя оборудования для майнинга Bitmain нет денег на выплату зарплат сотрудникам Bitmain, один из крупнейших в мире производителей ASIC-майнеров для добычи биткойна и других криптовалют, с сентября приостановил выплату заработной платы сотрудникам, о чем сообщило китайское новостное агентство Leiphone. Bitmain также сократила все бонусы для своих со...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Выпуск более половины новых машин был отложен в 2023 году В новом исследовании PwC Consulting выяснилось, что 34% новых автомобилей столкнулись с задержками производства в 2023 году. Еще 21% пострадал от задержек «из-за других факторов», что составляет более половины новых автомобилей, которые, как ожидалось, посту...

В России разработают рацион питания для полетов к Луне Научно-исследовательский институт пищеконцентратной промышленности и специальной пищевой технологии примет участие в испытаниях базового рациона питания для экипажей перспективного транспортного корабля нового поколения. Главный конструктор института Виктор Добровольский соо...

Redmi представила планшет Pad Pro Сегодня компания Redmi официально представила свой новейший планшет Pad Pro. И первый в серии Pro планшет от данного производителя имеет внушительный перечень преимуществ — например, он оснащён достаточно большим экраном диагональю 12,1 дюйма, производительным процессором Qu...

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Раскрывая потенциал GenICam и Harvester в системах компьютерного зрения Опыт работы с крупнейшими производственными площадками позволяет сказать, что на сегодняшний день целый ряд производств считает современные цифровые технологии и, в частности технологии искусственного интеллекта, неотъемлемой частью производства. В ряде компаний создана экос...

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

Заменитель и копия Ford Transit. В России начали продавать JMC Fengshon В России в продаже появился легкий фургон JMC Fengshon, о чем сообщает инсайдерский телеграм-канал «Автопоток». Источник уточняет, что это лицензионная копия Ford Transit третьего поколения. В Европе такой фургон выпускали в 2000-2014 годах. Машину ввез оди...

В Китае оформили уже 30 000 заказов на новейший кроссовер Li Auto L6, а в России он уже подешевел Li Auto сообщила о том, что объем заказов на кроссовер Li Auto L6 достиг отметки в 30 тысяч. Это говорит о большой популярности кроссовера, ведь заказы начали принимать всего 16 дней назад. В компании подчеркнули, что поставки заказанных машин осуществляются бесперебойн...

На MWC2024 представлено решение Intelligent Factory от Huawei     В ходе Международной выставки мобильных технологий MWC 2024, на заседании, посвященном решениям Huawei для производства и крупных предприятий под девизом «Погружение в отраслевую специфику для создания более обеспеченного, экологичного и умного будущего», компания Hua...

Собственные чат-бот Apple GPT и большая языковая модель Apple отстают от ChatGPT и Gemini Bloomberg отмечает, что собственная технология генеративного искусственного интеллекта Apple отстает от конкурентов. Сотрудники Apple тестировали собственного чат-бота под названием Apple GPT, кроме того, у компании есть собственная большая языковая модель под кодовым н...

ЦРУ обеспокоено возможностью Китая получить доступ к самому мощному суперкомпьютеру для обучения ИИ, основанному на чипах размером с iPad Из-за всё новых и новых санкций США Китай не может получать новейшие ускорители для ИИ и многое другое, что также связано с искусственным интеллектом. Однако теперь у правительства США появились опасения, что Китай всё же получит доступ к подобным технологиям, причём не...

«iPhone в мире искусственного интеллекта». Создатели iPhone и ChatGPT хотят привлечь 1 млрд долларов к созданию революционной новинки OpenAI ведет переговоры с бывшим дизайнером Apple Джони Айвом и японским технологическим гигантом SoftBank о финансировании проекта стоимостью 1 миллиард долларов по созданию «iPhone в мире искусственного интеллекта», о чем сообщило издание Financial Times в...

Флагманы следующего поколения на Snapdragon 8 Gen 4 станут монстрами автономности — они получат аккумуляторы 6000 мА·ч Отлично знакомый с планами производителей смартфонов из Поднебесной инсайдер Digital Chat Station сообщил, что флагманские модели нового поколения, основанные на SoC Qualcomm Snapdragon 8 Gen 4, получат аккумуляторы побольше, чем сейчас — емкость составит 6000 мАч...

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Apple может внедрить в свои будущие продукты технологии искусственного интеллекта Вместо использования облачных сервисов, гаджеты компании смогут использовать генеративные модели искусственного интеллекта на базе встроенного оборудования

Microsoft разработала новую технологию с использованием ИИ для более точного прогнозирования погоды Новая технология будет внедрена в сервис Microsoft Start.

Microsoft анонсирует DirectSR для Windows на мартовской конференции GDC На конференции GDC, запланированной на 21 марта 2024 года, Microsoft намерена представить собственную технологию масштабирования изображения под названием DirectSR (DirectX Super Resolution) для платформы Windows. Презентация состоится в рамках сессии «DirectX Start of the ...

Apple выпустит первый iPhone Slim, все модели iPhone 17 получат новую камеру, а в Pro-версии будет 12 ГБ ОЗУ, согласно новым инсайдерским сведениям Apple готовит масштабный редизайн своих смартфонов в следующем году. По словам известного аналитика Джеффа Пу, линейка iPhone 17 получит обновленный дизайн, улучшенные камеры и ряд других значительных усовершенствований. Одним из самых интригующих нововведений должна ст...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Китайская космическая компания LandSpace начинает предоставлять услуги коммерческих запусков на метанолоксе Китайская частная космическая компания LandSpace запустила международную коммерческую услугу по запуску носителя Zhuque-2 на метанолоксе после того, как ракета стала первой успешно достигшей орбиты ракетой на метановом топливе. Метанолоксе — это двухкомпонентное р...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Chery запускает первую облачную систему помощи при вождении Mobileye: автоматическое движение по дорогам без полос и адаптивное вождение Элитный бренд Xingtu Motors, принадлежащий Chery Automobile, объявил, что станет первым автопроизводителем в Китае, который запустит облачную систему помощи при вождении Mobileye. Xingtu Automobile объединилась с Mobileye и Bethel ADAS, чтобы начать массовое производств...

Гигант стейблкоинов Tether ставит на будущее нейротехнологий и вкладывает $200 млн в стартап, занимающийся нейроинтерфейсами Tether Holdings Ltd., эмитент крупнейшего стейблкоина USDT, объявила о масштабной инвестиции в размере $200 миллионов в Blackrock Neurotech, компанию из Солт-Лейк-Сити, специализирующуюся на разработке передовой технологии интерфейса «мозг-компьютер». Инвест...

Bloomberg: ЕС планирует вслед за США оценить риски использования китайских микросхем Евросоюз, следуя примеру США, намерен пересмотреть риски, связанные с использованием китайских микросхем в ключевых отраслях экономики. Согласно проекту рабочего заявления, Брюссель рассматривает возможность проведения расследования в отношении применения полупроводнико...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

В России начали производство новых карьерных самосвалов с патентами IVECO В России появился новый производитель карьерных самосвалов, который ранее назывался IVECO AMT. Это предприятие, теперь известное как Челябинская АМТ N.V., завершило производство партии грузовиков, предназначенных для перевозки гранита. Завод стал новым производителем к...

Xello Deception 5.3 обеспечивает гибкое управление ложным слоем инфраструктуры на распределённых площадках Компания Xello, разработчик первой российской платформы для защиты бизнеса от целевых атак с помощью технологии киберобмана, презентовала новую версию продукта. Ключевыми нововведениями версии 5.3 стали: новая архитектура для гибкого ...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

Графика Intel догонит Nvidia RTX 40 по эффективности генерации кадров в играх Intel намерена укрепить свои позиции на игровом рынке благодаря новой технологии генерации кадров ExtraSS для XeSS, представленной на выставке SIGGRAPH Asia 2023. Позиционируемая как конкурент Nvidia DLSS 3 и AMD FSR 3, технология XeSS представляет собой аппаратно-агностичес...

Mitsubishi отказалась от сборки Mitsubishi Outlander и Mitsubishi Pajero Sport в России Mitsubishi в своем годовом отчете сообщила о том, что не будет собирать свои внедорожники в России на предприятии «ПСМА Рус» — соответствующее соглашение о контрактном производстве машин с заводом расторгнуто. В качестве компенсации японская компания в...

Rhea Space Activity выиграла грант NASA для создания новой технологии связи на Луне Космическая компания Rhea Space Activity получила грант NASA на разработку и тестирование своей окололунной навигационной системы. 6 марта компания объявила о своей победе в конкурсе агентства TechFlights, проект Rhea Space Activity получит $750 000 и возможность запуст...

«Чем больше вы ездите, тем лучше». Huawei выпустила систему умного вождения, которой не нужны спутниковые карты, для Avatr 11 Avatr официально объявила сегодня, что она внедрит в Avatr 11 при помощи обновлению функции интеллектуального вождения и помощи в навигации, которые не полагаются на спутниковые карты. 4 февраля была полностью запущена новая система умного вождения NCA для городских тер...

Toyota станет более китайской: новые машины переведут на платформу BYD, позволяющую проезжать до 2000 км на баке бензина В ближайшие два-три года Toyota выпустить несколько новых моделей, которые будут построены на базе гибридной платформы BYD PHEV DM-i, о чем сообщают китайские СМИ. Японский автогигант больше не будет использовать платформу THS (Toyota Hybrid System). Ранее Toyota без ос...

Электромобили смогут проезжать более 1000 км от одного заряда благодаря новой технологии В Корее разработали батареи, который позволят электромобилям проезжать более 1000 км В последнее время электромобили приобрели невероятную популярность, так как лишены ряда недостатков, характерных для машин с двигателем внутреннего сгорания. Но в то же время они сами имеют ...

«Безопасность машины отечественного производства не гарантируют. Многие напряглись». Депутаты опасаются ездить на Lada Vesta после первого ДТП машины из автопарка Госдумы С конца прошлого года депутаты Госдумы начали пересаживаться со своих немолодых Ford Mondeo и Hyundai Sonata на новенькие отечественные Lada Vesta. Однако первое ДТП депутатской Lada Vesta заставило многих призадуматься о безопасности отечественного автомобиля. Это след...

Auden Group продемонстрирует свои передовые технологии на MWC 2024 в Барселоне Auden Group, один из ведущих в отрасли поставщиков антенн и решений в области связи, продемонстрирует на MWC 2024 в Барселоне свои передовые технологии в области антенн миллиметрового диапазона (mmWave), а также новые решения, поддерживающие открытость, совместимость и устой...

Новая китайская ракета-носитель Long March 6C успешно вывела на орбиту четыре спутника во время своего дебютного полёта 6 мая в 11:21 по пекинскому времени (03:21 UTC 7 мая) состоялся первый запуск ракеты Long March 6C с космодрома Тайюань на севере Китая. Шанхайская академия космических технологий (SAST), производитель ракеты-носителя, подтвердила успешное завершение миссии через ч...

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

Приглашаем ознакомиться с новейшим метрологическим 3D-сканером RangeVision Pro 2 RangeVision Pro 2 — модернизированная версия профессионального 3D-сканера по технологии структурированной подсветки RangeVision Pro, пользующегося популярностью в обратном проектировании, прототипировании и контроле геометрической точности. Новый вариант системы улучшен по р...

Axiom Space готовит новые скафандры для лунных экспедиций Artemis Компания Axiom Space готовится к проведению критического проектирования нового поколения лунных скафандров программы Artemis. Это событие может состояться уже в июне текущего года. Однако, эта новость на фоне отсрочки отправки астронавтов на Луну со стороны NASA вызывае...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Telkomsel и Huawei открыли первый в Индонезии 5G смарт-склад и инновационный центр 5G   Ведущий поставщик телекоммуникационных услуг Telkomsel и глобальный поставщик ИКТ-решений Huawei открыли первый в Индонезии 5G смарт-склад и инновационный центр 5G в округе Бекаси, Западная Ява. Этот знаковый объект демонстрирует потенциал технологии 5G для трансформа...

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Слух: Microsoft представит свой ИИ-чип на конференции Ignite 2023 Microsoft может представить первый собственный чип для искусственного интеллекта на грядущей конференции Ignite 2023, которая пройдёт с 14 по 17 ноября в Сиэтле. Об этом сообщает издание The Information. Отметим, что в расписании действительно присутствует сессия, посвящённ...

От роботов-пылесосов к топовым внедорожникам: в России официально появятся машины Rox Motor Молодая и амбициозная компания Rox Motor, основанная в 2021 году генеральным директором известного производителя роботов-пылесосов Roborock, готовится к официальному выходу на российский рынок. Новость о планах компании была озвучена на международном автосалоне в Пекине...

Китайский гигант по производству аккумуляторов CATL представил революционную литий-железо-фосфатную батарею с запасом хода более 1000 км Китайский гигант по производству аккумуляторов Contemporary Amperex Technology Co (CATL) произвёл фурор на 28 Пекинском автосалоне, представив новую литий-железо-фосфатную батарею (LFP) под названием Shenxing Plus. Эта разработка обещает обеспечить запас хода более 1000...

Intel впервые обратилась к пользователям в связи с проблемой с её процессорами Core i9, но ничего не объяснила и снова обвинила партнёров Компания Intel выступила с заявлением для потребителей относительно ситуации с её топовыми процессорами Core i9 и их нестабильной работой.   фото: Geekawhat В своём заявлении Intel ни словом не обмолвилась о причинах этой ситуации, зато снова обвинила производител...

GlobalFoundries ухудшила свои прогнозы: дешевые микросхемы теряют популярность GlobalFoundries, один из крупнейших контрактных производителей микросхем, понизил свой прогноз на первый квартал 2024 года, ссылаясь на две ключевые проблемы.

Росатом выпустил все необходимые реакторы для новых российских ледоколов По сообщению пресс-службы Росатома, на производственной площадке в Подольске завершено изготовление второго корпуса реактора РИТМ-200 для универсального атомного ледокола проекта 22220 «Чукотка». Это десятый и последний реактор, который изготовил завод ...

Вместо Lada – XCITE. На бывшем российском заводе Nissan начали выпускать автомобили XCITE, уже собрано несколько тысяч машин Сегодня ООО «Автозавод Санкт-Петербург» (раньше предприятие называлось «Lada Санкт-Петербург», но после введения американских санкций в отношении АвтоВАЗа осенью прошлого года отечественный флагман решил дистанцироваться от предприятия) официальн...

Стартап Kurs Orbital привлёк $4 млн для разработки новой технологии обслуживания спутников 7 марта стартап Kurs Orbital, занимающийся разработкой космических технологий для обслуживания спутников, объявил о получении начального финансирования в размере $4 000 000.  Основанная в 2021 году бывшим директором Украинского космического агентства Владимиром Усо...

Samsung внедрит Galaxy AI даже в очень старые устройства Изначально компания Samsung представила функции Galaxy AI на базе искусственного интеллекта в смартфонах серии Galaxy S24, а затем Samsung распространила эти же функции на флагманы 2023 года. Благодаря этому решению функции на базе искусственного интеллекта получили владельц...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Росатом хочет наладить 3D-печать деталей для атомных станций Госкорпорация «Росатом» собирается печатать детали для атомных станций на 3D-принтере, запустить такую технологию в работу планируется через год. Об этом журналистам рассказал Илья Кавелашвили, генеральный директор компании «Русатом — аддитивные ...

«Неконкурентоспособный» Volkswagen резко сокращает время разработки новых машин и количество тестовых прототипов Volkswagen хочет сэкономить дополнительные 10 миллиардов евро к 2026 году. Чтобы добиться этого, компания сокращает расходы везде, где это возможно. В рамках программы Accelerate Forward/ Road to 6.5 компания принимает меры на всех уровнях. Генеральный директор Томас Ше...

Российские ИТ-компании представили первый ПАК в виде гибридного рабочего места Производитель инфраструктурного оборудования Fplus, разработчик облачных решений «Базис» и разработчик ключевых компонентов для построения доверенной и безопасной ИТ-инфраструктуры Аладдин представили первый отечественный защищенный программно-аппаратный комплекс для создани...

Российские ИТ-компании представили первый ПАК в виде гибридного рабочего места Производитель инфраструктурного оборудования Fplus, разработчик облачных решений «Базис» и разработчик ключевых компонентов для построения доверенной и безопасной ИТ-инфраструктуры Аладдин представили первый отечественный защищенный программно-аппаратный комплекс для создани...

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

Xiaomi объявила, какие смартфоны получат новую HyperOS на первом и втором этапе распространения Вчера компания Xiaomi официально представила свою новую программную платформу HyperOS, а теперь она опубликовала список смартфонов, которые получат обновление во время первого этапа распространения.  Уже на презентации было объявлено, что в декабре 2023 года начну...

iPhone 16 Pro получит новую титановую рамку Сетевые источники поделились подробностями о новом поколении флагманских смартфонов Apple, которые будут представлены грядущей осенью. Как известно, в iPhone 15 Pro купертиновцы впервые использовали титановую рамку вместо нержавеющей стали, что позволило улучшить прочность с...

Вместе с новейшим Land Cruiser Prado 250 в Китае анонсировали премиум-седан Toyota Crown Седан Toyota Crown выходит за пределы домашнего рынка: автомобиль анонсировали на автосалоне в Гуанчжоу вместе с местной версией Land Cruiser Prado 250.  Пока непонятно, будут ли выпускать седан Crown в самом Китае (машину анонсировали на стенде совместного...

Совершенно новая Toyota Camry поступит в продажу не ранее марта 2024 года. По крайней мере, в Китае Несмотря на то, что Toyota уже представила новую Camry, дата начала продаж не раскрыта, также нет данных о том, когда начнут принимать предварительные заказы. Между тем, китайский ресурс Autohome поделился кое-какими подробностями на этот счет. Как сообщается, в Китае ...

LG создала дисплей, который скрывает изображение от водителя, и экран во всю переднюю панель, как у Mercedes-Benz EQS Южнокорейский технологический гигант LG посетит Международную выставку потребительской электроники CES в Лас-Вегасе, США, 9 января, представив серию новых информационно-развлекательных систем, в том числе автомобильный экран для переднего пассажира. Его особенностью ста...

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

АвтоВАЗ выпускает по машине каждые 26 секунд. Уже в декабре начнётся производство новой модели Президент компании АвтоВАЗ Максим Соколов заявил, что завод работает без остановки несмотря на санкции. «Хотя, конечно, проблемы в связи с этим возникли, но мы их решаем. Каждые 26 секунд с конвейеров предприятия сходит один новый автомобиль, и каждый из них наход...

В России успешно испытали первое водородное судно Sitronics group провела испытания первого в России прототипа электросудна на водороде в акватории Невы, о чем говорится в заявлении пресс-службы компании. «Компания Sitronics group провела успешные испытания судна с электрохимическим генератором (ЭХГ), вырабатываю...

Boston Dynamics продемонстрировала свою новую модель робота Atlas Обновленная машина получила электрическую платформу, улучшения в силе, скорости и маневренности, а также технологии искусственного интеллекта

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Canon начнет выпуск установок для нанопечатной литографии уже в этом году Компания Canon готовится к выпуску своей машины для нанопечатной литографии FPA-1200NZ2C в этом году. Хироаки Такеиши, председатель совета директоров и генеральный директор компании, сообщил о планах начать поставки в 2024 году, подчеркнув простоту и экономичность производст...

ChatGPT начнут внедрять на «Госуслугах» уже в этом году На «Госуслугах» может появиться чат-бот с искусственным интеллектом ChatGPT, рассказал министр цифрового развития РФ Максут Шадаев в рамках открывшегося в Алма-Ате цифрового форума Digital Almaty 2024. По словам Шадаева, ChatGPT будет использоваться для конс...

Холдинг Ростеха начал поставку новое оборудование для суперкомпьютеров Холдинг «Росэлектроника» госкорпорации Ростех начал поставки обновленного оборудования для создания суперкомпьютеров. Новая модификация стала более компактной и способной объединять серверы в мощные вычислительные кластеры для сложных расчетов. Первыми заказчиками нового обо...

Контракт на $615 000 000: York Space создаст для Пентагона сеть спутников с мировым охватом Спутники предназначены для части сети связи SDA, известной как Tranche 2 Alpha, — сообщил директор SDA Дерек Тёрнер 19 октября на симпозиуме MilSat в Калифорнии. Ожидается, что в сети будет около 100 спутников. Для производства дополнительных спутников для Tranche...

Турция решила заняться производством космических кораблей Президент Турции, Реджеп Тайип Эрдоган, объявил о намерении страны начать производство собственных космических аппаратов. Он выразил надежду на запуск турецкой ракеты в ближайшие годы. «Отправка в космос нашего первого астронавта стала нашим первым шагом для подго...

Японский стартап ispace собрал $53,5 млн для новой лунной миссии Японский стартап ispace собрал $53,5 млн на продаже акций для финансирования своего третьего космического аппарата.  Источник: ispace В прошлом году первая попытка компании на Луне закончилась неудачей, когда их посадочный модуль HAKUTO-R разбился из-за пробл...

Они могут стать основой для новых Lada. В России официально появятся три новые модели FAW Компания FAW собирается официально начать продавать в России в следующем году три новые модели, подробности о которых раскроют позже. «По нашим прогнозам, доля продукции китайских автопроизводителей на российском рынке продолжит увеличиваться. Автомобили из КНР от...

TSMC анонсировала новую технологию производства микросхем CoW-SoW TSMC представила прорывную технологию создания сверхбольших микросхем методом 3D-интеграции всей кремниевой пластины.

Запрет США на поставки ускорителей Nvidia открывает огромные возможности для Huawei Меры США по ограничению экспорта передовых чипов с технологиями искусственного интеллекта (ИИ) в Китай могут открыть перед Huawei огромные возможности по импортозамещению на внутреннем рынке, о чем пишет Reuters. В то время как Nvidia исторически была ведущим поставщико...

Samsung нанесет ответный удар по Apple. Компании надоела роль аутсайдера на рынке премиум-смартфонов, и линейка Galaxy S24 должна все изменить Несмотря на то, что смартфоны Samsung линейки Galaxy S23 продаются неплохо, компания постепенно теряет позиции лидера на рынке в целом и в премиум-сегменте в частности (там царствует Apple). В компании это понимают, и готовы дать бой Apple. Как пишет The Korea Daily, 20...

[Перевод] Минимум менеджеров, максимум инженеров: как Threads* удалось выпустить за пять месяцев В июле этого года компания Meta* выпустила своё новое мобильное приложение Threads* — сервис микроблоггинга, ставший новым конкурентом X (бывшего Twitter). В первые пять дней после выпуска приложение скачали больше ста миллионов раз — новый рекорд для компании. Предыдущий ре...

Цены встали: машины в России наконец перестали дорожать За последние две недели, в период с 16 по 30 сентября 2023 года, в России не было зафиксировано изменений цен на новые автомобили, которые официально продаются в России. Об этом сообщает «Автостат». Напомним, массовое подорожание машин наблюдалось в августе,...

Мощность, как у 52 Nvidia H100, 1 ТБ ОЗУ, и всё это за 5000 долларов? Tachyum обещает выпустить рабочую станцию Prodigy ATX Platform на своём суперпроцессоре Компания Tachyum, которая ещё в 2020 году представила 128-ядерный универсальный процессор Prodigy с какой-то запредельной производительностью, анонсировала рабочую станцию, которая будет по карману многим обычным пользователям.  ПК называется Prodigy ATX Platform ...

[Перевод] Начата разработка нового настольного эксперимента по поиску тёмной материи Что такое тёмная материя? Мы не знаем. На данном этапе игры учёные заняты тем, что пытаются обнаружить её и составить карту её присутствия и распределения во Вселенной. Обычно для этого используются высокотехнологичные и сложные телескопы. Но новый подход предполагает исполь...

Subaru избавится от своего легендарного наследия: Subaru Legacy скоро снимут с производства Американское подразделение Subaru сообщило о том, что модель Legacy («наследие» с английского) доживает свои последние месяцы: машину снимут с производства в следующем году. Интересно, что уже заявлена цена Legacy 2025: она будет соответствовать цене машины ...

Представлена новая технология солнечных батарей, основанная на свете в помещении Разработчиками была представлена новая технология солнечных батарей, предназначенная для подзарядки таких устройств, как пульты дистанционного управления, за счет использования окружающего света в помещении. Технология была продемонстрирована калифорнийской компанией Ambien...

Chevrolet Lacetti, давай, до свидания. До апреля будет выпущена финальная партия из 12 000 машин на заводе UzAuto Motors UzAuto Motors полностью прекратит производство модели Chevrolet Lacetti/Gentra в апреле 2024 года, о чем сообщает инсайдерский Telegram-канал «Автопоток». В течение января-апреля выпуск модели, собираемой на заводе GM в Узбекистане вот уже более 20 лет (с 20...

3D-технологии все ближе: осенние акции на оборудование от 3DVision Всем 3D-привет!3D-технологии становятся все более доступными и востребованными в различных отраслях промышленности. Именно поэтому сейчас самое время узнать о горячих осенних акциях 3DVision на оборудование для 3D-печати и сканирования. Начнем с осеннего предложения на промы...

В России начнут выпуск новой коробки передач — на это выделено более полумиллиарда рублей «Соллерс» запустит производство 6-тиступенчатой механической коробки передач (МКПП) на индустриальной площадке Заволжского моторного завода. Для этого дочернее общество ПАО «Соллерс» — ООО «Соллерс Производство Трансмиссий» &mda...

АвтоВАЗ переходит на отечественные детали для Lada из российского композита: на Granta уже устанавливаются наши бензобаки В рамках программы импортозамещения АвтоВАЗ начал использовать бензобаки, изготовленные из российского композитного материала. Поставщик бензобаков, компания «ДИПО», успешно заменила импортный полиэтилен низкого давления (HDPE) на аналогичный материал, произ...

Представлен первый в мире пятироторный автомобиль «Безумный» Майк Уиддетт — профессиональный дрифтер, страстно увлеченный роторными двигателями — не только тюнингует заводские модели Mazda, но и строит свои собственные автомобили. В этот раз он создал первую в мире пятироторную силовую установку...

«Вся индустрия заинтересована в ликвидации рынка CUDA». Глава Intel раскритиковал Nvidia и её доминирование на рынке ИИ Компания Intel после анонса процессоров Meteor Lake, которые пока не особо впечатляют, судя по первым тестам, решила заодно обрушиться с критикой на Nvidia.  создано DALL-E Если точнее, это сделал лично глава Intel Пэт Гелсингер (Pat Gelsinger). Его заявление каса...

Выручка Samsung выросла на 993% благодаря ИИ На волне развития технологий в области искусственного интеллекта многие компании, которые производят аппаратное обеспечение, получили безумный прирост прибыли. Например, у компании Samsung, по данным специалистов, в первом квартале 2024 года выручка выросла на 993%. Да, это ...

Hyundai Solaris и Kia Rio могут вернуться на российский рынок под хорошо известным брендом. Ежедневно завод уже выпускает 6-8 машин На заводе «Хёндэ Мотор Мануфактуринг Рус» (ХММР) в Петербурге сейчас ежедневно сходят с конвейера 6-8 автомобилей Hyundai Solaris и Kia Rio, о чем сообщает «Русский автомобиль» «Крет пока не видел. Все эти машины уходят с официальными шильд...

Astrobotic и Mission Control объединили усилия для создания полуавтономного лунохода Astrobotic, ведущая компания в области космической робототехники, объявила о сотрудничестве с канадской компанией по производству космического программного обеспечения Mission Control для создания вездехода, который будет отправлен на Луну в рамках предстоящей миссии As...

Intel рассказала, как искусственный интеллект помогал ей при разработке процессоров Meteor Lake Искусственный интеллект используется во многих сферах, и один из вариантов — разработка новых чипов. Intel поделилась информацией, как использовала ИИ при разработке своих новейших процессоров Meteor Lake.  создано DALL-E Судя по всему, пока ИИ используется ...

Чехольчик    Обычно мой телефон редко переживает свой чехол, то утонет, то машиной раздавлю, то просто экран в паутинку. А тут незадача - чехол улетел в мусор вперед телефона. Надо что-то срочно делать! Накидал модельку - обычный бампер, первая примерка, исправления, можно печатать.  ...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Ноутбуки Honor Magicbook X14/X16 получили новый процессор Компания Honor сообщила о том, что ноутбуки Honor Magicbook X14/X16 стали доступны в версии с процессором Core i5-13420H по цене от 530/545 долларов в Китае. До этого ноутбуки оснащались процессором Core i5-13500H. Ноутбуки оснащены крупногабаритным высокопроизводительн...

Представлен первый двигатель Honda V8 стоимостью с автомобиль. Только предназначен он не для машин, а для лодок Honda никогда не создавала двигатель V8 для своих автомобилей, но компания представила двигатель V8 с системой VTEC для лодок. Этот двигатель, который получил модельный номер BF350, был создан подразделением Honda Marine. Он предназначен для лодок и катеров длиной боле...

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

Lada Vesta NG вернёт себе ESP через шесть месяцев. Как сообщает телеканал «Авто Плюс» со ссылкой на представителей АвтоВАЗа, Lada Vesta NG вернёт себе ESP через шесть месяцев. Мы неоднократно рассказывали, что группа компаний «ИТЭЛМА» недавно наладила производство российской антиблокировочной сис...

Почем новейший «Танк»? Раскрыта стоимость брутального внедорожника Tank 700 в России В России начали принимать заказы на новый внедорожник Tank 700 Hi4-T. Продавец из Хабаровска оценил машину в 15,7 млн рублей — при том, что в Китае цена начинается с 428 тыс. юаней (это примерно 5,45 млн рублей). Возможно, в стоимость заложена большая наценка за ...

Поезда ВСМ между Москвой и Петербургом будут ходить каждые 10-15 минут. Первая партия из 28 поездов будет готова к 2028 году Поезда высокоскоростной железнодорожной магистрали между Москвой и Санкт-Петербургом будут ходить каждые 10-15 минут, о чем заявил министр транспорта Виталий Савельев На совещании с участием президента РФ Владимира Путина по строительству ВСМ Москва - Санкт-Петербург он...

Nokia и vivo договорились о лицензировании 5G Финская компания Nokia объявила о подписании многолетнего патентного кросс-лицензионного соглашения с китайским производителем смартфонов vivo. Оно касается, в том числе, использования компанией vivo технологии связи 5G — судебные споры по этому поводу велись с 20...

Продажи iPhone в Китае очень сильно обвалились. Как ситуацию может исправить iOS 18, рассказали в DigiTimes Функции искусственного интеллекта в iOS 18 могут оживить продажи iPhone в Китае, о чем говорится в свежей статье издания DigiTimes. Продажи iPhone в Китае с начала 2024 года ослабли на фоне роста популярности Android-флагманов, которые предлагают функции генеративного и...

Microsoft решила объединить ядерную энергетику и искусственный интеллект В рамках нового подхода компания Microsoft решила объеденить ядерную энергию и искусственный интеллект (ИИ), чтобы запустить следующую «эру развития ИИ». Технологический гигант предложил использовать технологию ИИ для упрощения сложных процессов регулирования, связанных с со...

С первого раза сделать, как у Apple, не получилось. Забудьте о спутниковой связи Snapdragon Satellite на смартфонах, потому что Qualcomm расторгла партнёрство с Iridium Похоже, какого массового появления смартфонов с поддержкой спутниковой связи на Android в ближайшее время ждать не стоит. Qualcomm расторгла соглашение с Iridium.  Напомним, в начале этого года Qualcomm представила Snapdragon Satellite — первое в мире готово...

Microsoft представила бизнес-модели Surface Pro 10 и Surface Laptop 6 Как и ожидалось, на сегодняшнем мероприятии Microsoft представила Surface Pro 10 и Surface Laptop 6, правда только в версиях для бизнеса. Дизайн новинок не изменился по сравнению с предыдущим поколением, но улучшений всё же достаточно много. Оба устройства уже доступны для ...

OnePlus представила доступный планшет Pad Go Сегодня компания OnePlus представила свой новейший планшетный компьютер OnePlus Pad Go, который предлагает упрощённые характеристики по сравнению с OnePlus Pad, при этом имея гораздо более привлекательную стартовую цену. Начать стоит с того, что Pad Go оснащён 11,35-дюймовым...

Сначала судились, а теперь объединились. Nikon покупает RED — производителя известных кинокамер Компания Nikon сегодня объявила о приобретении компании RED — известного производителя кинокамер. Сумма сделки не названа, Nikon лишь заявила, что RED станет дочерней компанией, но будет находиться в полной собственности. В настоящее время в RED работает около 220...

«Пока это серая зона», — в Казахстане узаконят Starlink только в следующем году Министр цифрового развития, инноваций и аэрокосмической промышленности Казахстана Багдат Мусин заявил на пресс-конференции в правительстве, что вопрос с использованием в стране интернета Starlink будет законодательно урегулирован только в следующем году. Несмотря на то,...

Google выпустила новое приложение с ИИ для создания видеороликов На этой неделе компания Google без лишнего шума анонсировала приложение для создания видеороликов на основе искусственного интеллекта. Новое приложение под названием Google Vids предназначено для пользователей Google Workspace и использует возможности Google Gemini — искусс...

«Альфа-банк» создаст собственного мобильного оператора «Альфа-банк» решил заняться созданием собственного мобильного оператора и для этого организовал отдельную компанию. Подобный шаг уже был предпринят несколькими крупными банками, такими как Сбербанк, Тинькофф банк, ВТБ и Газпромбанк, которые уже имеют свои со...

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Японская компания Pale Blue получила финансирование в размере $7,5 млн на массовое производство тяговых систем для малых спутников Японская компания Pale Blue привлекла $7,5 миллионов на создание массового производства систем тяги на основе водяного пара для малых спутников. Финансирование состоит из кредитов и недавно завершённого раунда B, поддержанного существующими инвесторами компании — ...

Первым смартфоном на Snapdragon 8 Gen3 без вырезов в экране станет Nubia Z60 Ultra Компания Nubia объявила, что её новый флагманский смартфон будет оснащен мобильной платформой Snapdragon 8 Gen3, которая была анонсирована только вчера. Известный инсайдер Digital Chat Station заявил, что флагман Nubia на базе Snapdragon 8 Gen3 использует технологию под...

Производители предупредили о подорожании электроники в России Производители бытовой техники и электроники уверены, что в следующем году их продукция подорожает на фоне новых экологических требований Минприроды, о чем пишут «Известия». Ведомство предложило пересмотреть ставки экосбора и норматив по утилизации товаров. Н...

В России запустят производство собственных подушек, ремней безопасности, рулевых колес и ЭБУ В 2025 году компания «Соллерс» планирует расширить свою деятельность, запустив производство ключевых компонентов для систем безопасности автомобилей на своем заводе в Ульяновске. Средства на этот проект в размере 1,2 миллиарда рублей были выделены из Фонда р...

Компания ASML начала поставки ключевых компонентов новых микрочиповых машин для Intel Оборудование нового поколения обойдётся компании в 250 миллионов евро

Mazda и Toyota решили использовать на 90% одинаковые бортовые системы и программное обеспечение Будущие модели Mazda будут использовать новое автомобильное программное и аппаратное обеспечение, разработанное совместно с Toyota, и использовать общую операционную систему. Два японских производителя автомобилей будут сотрудничать в разработке будущей системы, чтобы з...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

В России началось производство импортозамещенной электротехнической продукции Компания «Электрорешения» (бренд EKF) запустила производство электротехнической продукции в Собинском районе Владимирской области, при помощи которого планируется заменить импортные аналоги. Этот проект ориентирован на создание высокотехнологичного импортоза...

Microsoft разрабатывает серверное оборудование для ИИ, чтобы снизить зависимость от NVIDIA Агентство The Information сообщает, что Microsoft разрабатывает новую сетевую карту, которая должна повысить производительность серверного чипа Maia AI и снизить зависимость компании от решений NVIDIA. По информации источника, руководит проектом Прадип Синду (Pradeep Sindhu...

Какая зарядка нужна вашему телефону и что означают популярные сокращения Как и все технологии, смартфоны становятся лучше с каждым поколением. Поскольку технология аккумуляторов отстает от скачков в циклах разработки смартфонов, производители вынуждены внедрять инновации. Если их батареи не могут работать целыми днями, мы, по крайней мере, можем...

Инновационный Саммит Российская компания «Систэм Электрик» (Systeme Electric), производитель комплексных решений в области распределения электроэнергии и автоматизации, приглашает принять участие в Инновационном Саммите 2024! Мероприятие состоится 15-18 апреля 2024 г. в ЦВК «Экспоцентр» на Красн...

Все автозаводы в России могут обеспечить российскими ABS и ESC «Итэлма» сообщила, какие компании заказывают у неё комплекты антиблокировочной системе тормозов и системы динамической стабилизации. «Мы являемся поставщиком ABS/ESC на все модели автомобилей, которые сегодня производит АвтоВАЗ. Также начали работу с Группой ГАЗ и ведем пе...

Названа самая продаваемая модель Suzuki в истории. Это не Vitara и не Jimny Suzuki, производитель автомобилей и мотоциклов со штаб-квартирой в Сидзуоке, Япония, достиг важной вехи, достигнув к концу августа 2023 года совокупного объема продаж автомобилей по всему миру в 80 миллионов единиц. В настоящее время производитель производит автомобили,...

Стало известно, когда российский завод Hyundai возобновит работу Глава Минпромторга Денис Мантуров рассказал, когда состоится перезапуск российского завода Hyundai. Под конец 2023 года появились слухи, что производство запустят уже в январе, но в реальности сборка авто начнется позже. Фото: Hyundai «Что касается Hyundai, мы ра...

Российская компания «Гравитон» начала серийный выпуск твердотельных накопителей Российский производитель вычислительной техники «Гравитон» начал серийное производство нового поколения твердотельных накопителей. SSD типоразмера M.2 2280 с интерфейсом PCIe Gen3x4 NVMe подойдут для использования в ПК, моноблоках и ноутбуках «Гравитон...

Supermicro выпустил серию X14 с будущей поддержкой Intel Xeon 6   Supermicro, Inc., поставщик комплексных ИТ-решений для облачных вычислений, искусственного интеллекта и машинного обучения, систем хранения данных и 5G/Edge, представил линейку серверов X14 с будущей поддержкой процессоров Intel Xeon 6. Сочетание модульной архитектуры Superm...

МВД закупило большую партию «обезличенных» кроссоверов с логотипом ДПС Автопарки ДПС в нескольких регионах России пополнились новыми кроссоверами Haval F7 в рамках федерального проекта «Безопасность дорожного движения», как сообщили в пресс-службе МВД по Республике Чувашия. ГИБДД получила новые служебные автомобили, которые нед...

Это новый Mitsubishi Endeavor на базе Nissan Pathfinder. Новинка может составить конкуренцию Toyota Highlander и Kia Telluride Mitsubishi рассматривает возможность разработки кроссовера на основе Nissan Pathfinder, о чем сообщает «За рулём». Mitsubishi Endeavor, выпускавшийся с 2003 по 2011 годы, может получить новое поколение после того, как был снят с производства из-за низкой поп...

Только для профессионалов: представлен г-образный фен Dyson Supersonic r дороже 50 тыс. рублей Компания Dyson представила новый фен Supersonic r — первое крупное обновление серии с 2016 года, когда появилась модель Supersonic. Dyson Supersonic r по своей форме действительно напоминает букву r (или г), он предназначен, в первую очередь, для профессионального...

Гонка между Intel, Samsung и TSMC за выпуск первого 2-нм чипа Крупнейшие производители полупроводниковых чипов соревнуются в создании 2-нанометровых процессоров для будущих устройств, включая смартфоны и серверы.

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

Это новейший Tank 300 Hi4-T, и он может оказаться дешевле, чем ожидалось. Официальные фото и новые подробности Гу Юкунь (Gu Yukun), заместитель генерального директора бренда Tank, опубликовал в соцсети Weibo заметку, в которой коснулся ряда проблем, с которыми компании приходится сталкиваться при запуске в производство гибридного внедорожника Tank 300 Hi4-T. Свои слова он сопров...

Сегодня Илон Маск запускает своего конкурента ChatGPT, который «в некоторых важных отношениях будет лучшим, что существует на данный момент» Илон Маск вчера сообщил, что его молодая компания xAI запустит свою систему искусственного интеллекта уже сегодня.  Завтра xAI выпустит свой первый ИИ для избранной группы. В некоторых важных отношениях это лучшее, что существует на данный момент  Jaap Arrien...

Запас хода 2000 км и расход 2,9 л на 100 км. BYD собирается утереть нос всем конкурентам BYD собирается представить свою гибридную систему пятого поколения, которая позволят автомобилю потреблять всего 2,9 л топлива на 100 километров и проезжать около 2000 километров с полным баком топлива и полной заправкой. Для сравнения, обычные бензиновые автомобили име...

«Независимо от стоимости, таким должен быть флагман». Инсайдер рассказал о большом количестве новых технологий в Xiaomi 14 Ultra и его цене Инсайдер, известный в Weibo под ником Digital Chat Station, написал большой пост о Xiaomi 14 Ultra. По словам информатора, этот смартфон будет «практически полностью переработанным продуктом». В частности, аппарат получит новую четырехмодульную камеру Leica,...

Законодателям США не понравилось, что Huawei выпустила новейший ноутбук MateBook X Pro на основе процессоров Intel Компания Huawei на днях представила новейших ноутбук MateBook X Pro на основе процессоров Intel Core Ultra. И это не понравилось ряду американских чиновников.  фото: Huawei Как сообщается, законодатели-республиканцы в пятницу раскритиковали администрацию президент...

Nvidia и Amazon создадут суперкомпьютер Ceiba с производительностью 65 ExaFLOPS. Но это производительность не FP32 или FP64 Компании Nvidia и Amazon Web Services (AWS) объявили о расширении своего стратегического сотрудничества, в рамках которого в том числе будет построен самый быстрый суперкомпьютер искусственного интеллекта Ceiba.  Ceiba получит 16 384 системы GH200 Superchips и буд...

Машины Sollers станут более «российскими» ООО «Соллерс Алабуга» получило 3,4 миллиарда рублей от Фонда развития промышленности (ФРП) для осуществления ключевого проекта по изготовлению штампованных кузовных деталей для автомобилей Sollers Atlant и Sollers Argo. В пресс-службе «Соллерса» ...

Микрофабрики за $300000 способны произвести революцию в строительстве Британская компания Automated Architecture представила новую оригинальную технологию строительства. Методика заключается в использовании роботизированных микрозаводов, а одними из главных стремлений разработчиков стали снижение зависимости строительной отрасли от дефицита ра...

В России готовятся начать производство недорогих седанов и кроссоверов: переговоры с несколькими партнёрами ведёт Livan Китайская компания Livan ведет переговоры о контрактной сборке своих автомобилей в России, о чем заявил генеральный директор «Ливэн Моторс Рус» Се Цзяо. «Мы склоняемся к переговорам по сборке. С разными каналами мы уже разговариваем по этому вопросу&ra...

Nubia Z60 Ultra получит огромный кремний-углеродный аккумулятор Смартфон Nubia Z60 Ultra сможет похвастаться не только необычным дизайном, хорошим набором камер, экраном без вырезов и огромным аккумулятором. Компания сообщила, что этот самый аккумулятор будет иметь кремний-углеродный анод.  Такое решение позволяет ощутимо повы...

Чипы из США не понадобятся: Китай внедряет новую программу для стимулирования внутренней полупроводниковой промышленности Китай представил новую стратегию по стимулированию внутренней полупроводниковой промышленности в виде схемы субсидирования, направленной на усиление вычислительных мощностей и снижение зависимости от зарубежных технологий на фоне ограничений, введенных США. Местное прав...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Тут, все что известно о Galaxy Watch 7. Стоит ли ждать, или купить сейчас Galaxy Watch 6 Samsung Galaxy Watch 6 — одни из лучших смарт-часов, которые вы можете купить для любого телефона. Хотя до выхода новых часов еще более полугода, я бы хотел, чтобы в 2024 году Samsung сделала несколько более смелых шагов с новыми Galaxy Watch. В моем списке желаний Samsung ...

Представлен новейший робот-гуманоид Tesla Optimus Gen 2 Tesla представила Optimus Gen 2, новое поколение своего робота-гуманоида, который сможет брать на себя различные задачи, помогая людям. Tesla хочет создать робота-гуманоида, который мог бы быть достаточно универсальным, чтобы заменить человеческий труд, но многие сомнев...

Представлен Chevrolet Spin 2025 Компания Chevrolet представила Chevrolet Spin 2025 модельного года, который отличается обновленным внешним видом, современными технологиями и новой настройкой шасси. Оригинальный Chevrolet Spin — разработанный в Бразилии минивэн-кроссовер на базе снятого с произво...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

Представлен «умный» электрочайник Xiaomi Mijia Health Pot N1 всего за $15 Компания Xiaomi представила новую модель «умного» электрочайника Mijia Health Pot N1. В этом чайнике можно не просто кипятить воду, но и сразу заваривать чай: предусмотрена ёмкость для заварки из нержавеющей стали. Корпус Mijia Health Pot N1 выполнен из проз...

Ноутбук Honor MagicBook Pro 16 получил 165-Гц экран Компания Honor представила ноутбук MagicBook Pro 16 2024, который позиционируется производителем первой моделью на рынке с искусственным интеллектом и поддержкой пространственного звучания (spatial audio). Новинку оснастили новейшими процессорами Intel Core Ultra 7, гра...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

TSMC установила исторический рекорд стоимости акций Акции крупнейшего производителя полупроводников, тайваньской компании TSMC (Taiwan Semiconductor Manufacturing Company), достигли исторического максимума, поднявшись днем почти до 23 долларов, согласно данным Тайваньской биржи (TWSE). По завершении торгов стоимость акци...

Как составить эффективное резюме через нейросети В эпоху цифровизации трудоустройства, когда рекрутинговые агентства и HR-отделы переходят на автоматизированные системы обработки резюме, важность составления эффективного CV выходит на новый уровень. Первое впечатление о кандидате складывается за считанные секунды. Плюс не ...

iPhone 16 может получить дополнительную кнопку, а кнопка действия станет твердотельной Смартфоны iPhone 16 могут быть оснащены дополнительной кнопкой, о чем пишет Мacrumors. Кроме того, Apple также планирует переместить антенну mmWave не левую часть смартфона, чтобы учесть это изменение. Предполагается, что «кнопка действия» iPhone 16 Pro буде...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Новый хит, но пока только в Китае. На дешевый люксовый кроссовер Li Auto L6 оформлено 20 тыс. заказов за 12 дней Продажи новейшего кроссовера Li Auto L6 в Китае стартовали 12 дней назад, и за это время на машину оформлено уже 20 тыс. заказов. Это официальная статистика. Также компания сообщила, что в течение 6 дней покупателям доставлено более 2 тыс. кроссоверов. В течение мая пр...

Стартап бывших руководителей SpaceX и Amazon готовится к запуску собственного космического буксира на солнечной тепловой тяге Supernova Портал стартапов Space Systems от бывших руководителей SpaceX и Amazon раскрыл планы по созданию космических аппаратов с новой технологией движения, предназначенной для быстрого перемещения между орбитами. Компания официально «вышла из тени» 30 апреля, объяв...

Новый китайский аналоговый процессор в 3000 раз быстрее GPU A100 от Nvidia. Что это за чип и для чего он нужен? Именно это преимущество нового чипа указывают в статье, описывающей новое изобретение, китайские разработчики. Команда ученых из университета Цинхуа создала аналоговый фотоэлектронный чип. По мнению самих разработчиков, этот чип способен вывести отрасль машинного зрения на ...

«Следующий смартфон Nokia». HMD Global прямо намекает на продолжение выпуска смартфонов легендарной марки Компания HMD Global ранее выпустила ряд тизеров, которые предполагали, что она откажется от бренда Nokia и запустит модели собственного бренда, что вызвало критику со стороны многих СМИ и поклонников Nokia. Вчера компания опубликовала на YouTube новое видео, утверждая,...

Redmi переходит на Snapdragon 8s Gen3 с огромными инвестициями в новую линейку Ван Тенг Томас (Wang Teng Thomas), директор по новым продуктам Xiaomi, опубликовал новое сообщение в социальной сети Weibo сообщение, что новая линейка Redmi будет оснащена мобильной платформой Snapdragon 8s Gen3. Ван Тенг Томас сказал, что, согласно внутренним расчетам...

Камеры Sony больше не нужны? Китайские производители смартфонов переходят на OmniVision: топовый датчик превзойдёт Sony IMX989 Производитель датчиков изображения CMOS OmniVision выпустит в конце года новые продукты высокого класса, включая OV50K. Это датчик изображения дюймового формата, который будет намного превосходить по характеристикам Sony IMX989. Ранее анонсированный Xiaomi 14 испол...

Сервис Google Maps получил генеративный ИИ Компания Google делает достаточно важные шаги в своём развитии, интегрируя искусственный интеллект в практически все свои сервисы, чтобы повысить интерес со стороны аудитории. Например, компания предлагает генеративный искусственный интеллект в приложении Google Maps, которы...

США лишат китайского производителя чипов CXMT доступа к своим технологиям Планируемые ограничительные меры США могут подорвать развитие полупроводниковой отрасли Китая. Компания CXMT - лишь первая мишень.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

TSMC намерена начать производство новых чипов с потреблением в тысячи ватт Подложки новых графических и центральных процессоров будут на порядок больше сегодняшних моделей.

Pantum продемонстрировал новинки на IT Partners 2024 Ведущий поставщик оборудования для печати Pantum представил свои новинки на выставке IT Partners 2024. Среди представленных разработок — новая серия монохромных лазерных принтеров 22PPM A4, цветных лазерных принтеров 20PPM A4 и цветных светодиодных принтеров 30PPM A3. Это ме...

Представлен чайник Xiaomi с технологией шумоподавления Компания Xiaomi представила новый электрический чайник Mijia Constant Temperature Electric Kettle P1 Light Edition, который позволяет поддерживать постоянную температуру, получил цифровой дисплей и другие функции. В новой версии популярного электрочайника Mijia P1 приме...

Обзор на металлические 3D принтеры Farsoon В этой статье знакомимся с линейкой металлических 3D принтеров Farsoon.А перед тем как мы начнем, подпишитесь на наш Telegram! Там мы каждые две недели дарим 3D принтер! Все подробности о розыгрыше в посте в Telegram! А еще мы запустили творческий конкурс на лучшую напечатан...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Территория АвтоВАЗ забита новыми автомобилями Lada Vesta. Поставщика деталей подушек безопасности хотят уберечь от вторичных санкций Территория старого испытательного трека АвтоВАЗ забита новыми автомобилями Lada Vesta, что подтверждает уже второй инсайдер за последние пару дней, который предоставил новые детали. Как сообщил «Автопотоку» источник, работающий на заводе АвтоВАЗ, причина кро...

Supermicro расширяет решения на основе искусственного интеллекта новыми платформами Компания Supermicro, Inc., поставщик комплексных ИТ-решений для искусственного интеллекта, облачных технологий, систем хранения данных и 5G/Edge, расширяет возможности ИИ благодаря предстоящей поддержке нового NVIDIA HGX H200, созданного на базе графических процессоров с тен...

Apple выпустит складной iPad или iPhone, который в конечном итоге может заменить iPad Mini. Компания также работает над 20,5-дюймовым складным устройством Apple рассматривает возможность выпуска своего первого складного устройства в ближайшие несколько лет в виде 7-8-дюймового устройства, которое в конечном итоге может заменить 8,3-дюймовый iPad mini. Как сообщает южнокорейское издание The Elec, Apple рассматривает сроки ...

В iPhone 16 появятся функции на базе ИИ Если вы следите за рынком высоких технологий, то заметит, что в последнее время крупные компании делают акцент не на производительности своих смартфонов, а на технологиях, которые основываются на искусственном интеллекте. Например, у Samsung появилось приложение, которое мож...

Российские HSM модули: Новый этап в защите данных платежных систем В начале 2024 года российские банки столкнулись с важным решением: перейти на оборудование отечественного производства для защиты транзакций по пластиковым картам. Этот шаг в рамках программы импортозамещения не только повышает безопасность финансовых операций, но и открывае...

Поставщиком титана для Samsung Galaxy S24 Ultra выступит китайская компания Solomon Корейский ресурс TheElec рассказал подробности о будущем флагмане Samsung Galaxy S24 Ultra. Он подтвердил, что боковая рамка Galaxy S24 Ultra будет выполнена из титанового сплава, а поставщиком этого сплава выступит китайская компания Solomon. Источники TheElec со...

Китай запустил спутник 6G. Пока технология остаётся на стадии экспериментов, исследования не останавливаются По данным China Global Television Network (CGTN), англоязычной государственной новостной организации, Китай запустил два экспериментальных спутника на низкую околоземную орбиту в начале этого месяца. Первый спутник, China Mobile 01, оснащён первым в мире спутником обраб...

Не Китай, так Индия: производители мощных GPU поставляют всё больше продукции индийскому бизнесу. Что происходит? Вот уже несколько лет многие IT-компании из Китая находятся под жёсткими санкциями США. Экспортные ограничения не дают возможности производителям, которые работают с технологиями США, поставлять современную продукцию в КНР. В первую очередь под запретом находятся мощные GPU ...

Гарантия 6 лет или 180 000 км пробега: новейший Geely Okavango начали продавать в Казахстане В Казахстане начались продажи семейного 7-местного кроссовера Geely Okavango, который недавно официально появился и в России, и в Белоруссии. Что по ценам? В Казахстане Geely Okavango доступен в четырех цветах: белый, серый, черный и брендовый «Синий металлик&raqu...

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

СМИ: вице-президент Xbox Карим Чоудри покидает Microsoft Игровое подразделение Microsoft стало одним из самых прибыльных для компании, опередив по этому показателю даже Windows. Это произошло во многом благодаря недавнему приобретению Activision Blizzard, которая владеет такими крупными франшизами, как Candy Crush Saga, World of ...

Австралийские компании разрабатывают роботизированные системы для поиска и ремонта спутников на низкой околоземной орбите Большое количество спутников выходит из строя, зачастую внезапно. Для некоторых из них до конца неизвестно, почему это происходит. А то, что в космосе отсутствуют ремонтные базы, создаёт дополнительные сложности. Но две компании из Австралии решили использовать эту проб...

Apple хочет, чтобы iOS 18 стала самым «амбициозным и убедительным» обновлением за последние годы Ранее на этой неделе агентство Bloomberg сообщило, что Apple приостановила разработку новых функций для iOS 18, чтобы сосредоточиться на исправлении ошибок. Несмотря на недельную паузу, у компании по-прежнему большие амбиции относительно обновления программного обеспече...

Представлен современный битопливный автомобиль с газовым оборудованием российской сборки. Первая партия уже готова «Автотор» и «Газпром газомоторные системы» представили новую версию BAIC U5 plus. Этот автомобиль оснащен газобаллонным оборудованием и был произведен на заводе в Калининградской области. Для этой модели было использовано современное газовое обо...

Крупнейшие технологические прорывы 2023 года, которые изменят нашу жизнь В 2023 году было совершено несколько серьезных технологических прорывов, которые изменят нашу жизнь Мир технологий постоянно развивается, и учеными регулярно свершаются определенные достижения, но часто они остаются незамеченными. Лишь со временем мы обнаруживаем, что наша ж...

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

АвтоВАЗ представил новый мотор – 1.8 Evo с вариатором. В декабре соберут 25 машин Lada Vesta 1.8 CVT АвтоВАЗ сегодня представил новый мотор с объемом 1,8 л: двигатель называется 1.8 Evo. В сравнении с 1,8-литровым мотором, который ранее устанавливали на Lada Vesta, мощность не изменилась – все те же 122 л.с., но в конструкции 25 новшеств. Если точнее, то в двиг...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Apple представила собственную ИИ для редактирования фото На текущий момент абсолютно очевидно, что компания Apple отстаёт от гигантов вроде ChatGPT от OpenAI и Gemini от Google — работа над искусственным интеллектом требует безумных вложений сил, денег и времени, и у Apple с этим пока что серьёзные проблемы. Тем не менее, компания...

NASA отправит на обратную сторону Луны телескоп LuSEE-Night. Его калибратор позволит достичь беспрецедентной точности измерений с погрешностью 1% Научный проект под названием LuSEE-Night обещает открыть новые горизонты в изучении Вселенной. В рамках этого проекта планируется запуск радиотелескопа на обратную сторону Луны в 2026 году. Уникальность этой миссии в безжалостной, но обещающей колоссальное научное возна...

Samsung разработала самую быструю в отрасли память LPDDR5X со скоростью 10,7 Гбит/с Массовое производство планируется начать во второй половине года.

В России будут выпускать флагманский кроссовер Chery Tiggo 9. Производство наладят на бывшем заводе Mercedes-Benz в Подмосковье Слухи о том, что автомобили Chery могут получить российскую прописку, ходят давно, причем в разных утечках фигурировали разные площадки. Но бывший российский завод Mercedes-Benz не упоминали — до сегодняшнего дня. О том, что на подмосковном заводе, который ранее ...

Да, Ryzen 7 8840U не предлагает вообще ничего нового. Первый тест этого APU показывает, что разница с Ryzen 7 7840U не превышает 2% Компания GPD одной из первых анонсировала портативную игровую консоль на основе APU Ryzen 8000. И сегодня производитель поделился результатами производительности.  В данном случае речь об APU Ryzen 7 8840U — флагмане новой линейки AMD. Результат есть пока в ...

«Я был шокирован», «Решение Apple было абсолютно правильным». Руководители Tesla, Xiaomi и Li Auto по-разному отреагировали на неожиданное устранение конкурента в лице Apple После появления информации о том, что Apple отказалась от разработки беспилотных электромобилей, последовала бурное реакция пользователей, а также руководителей крупных компаний. Комментарий Илона Маска был самым коротким, он опубликовал два смайлика, на первом из котор...

Jaguar Land Rover и Chery открещиваются от совместной разработки машин Jaguar Land Rover официально прокомментировала информацию о том, что Jaguar Land Rover сотрудничает с Chery над созданием новых автомобилей класса люкс. «Эта новость ложная», — заявили в Jaguar Land Rover. Кроме того, представитель Chery Group Цзинь Иб...

Amazon анонсировала выпуск своих новых чипов для технологии искусственного интеллекта Однако, вместе с этим продолжит закупать новейшие ускорители у компании Nvidia

Представлены BMW 530e и 550e xDrive с расходом топлива до 1 л на 100 км BMW расширяет линейку электрифицированных моделей, представив два новых варианта 5-й серии с двигателями внутреннего сгорания и 48-вольтовой мягкой гибридной технологией eDrive. BMW 530e и 550e xDrive выйдут в ноябре и уже доступны для заказа в Германии. Седан BMW 530e...

Представлен 4K-монитор Hisense 27G7K Pro Компания Hisense пополнила ассортимент мониторов моделью Hisense 27G7K Pro, которая основана на 27-дюймовой матрице Fast IPS с разрешением 4K. Новинка также характеризуется подсветкой Mini LED с 1152 участками подсветки, технологией ULED, кадровой частотой 160 Гц, динамическ...

Xinhua Silk Road: Shaanxi Blower Group на Hannover Messe 2024 представила свои новейшие технологии В Ганновере прошла Международная выставка инноваций и промышленных технологий Hannover Messe 2024. В этом году выставка привлекла около 4.000 участников из около 60 стран и регионов мира, причем 30% от их общего числа составили китайские экспоненты. Компания Shaanxi Blower (...

«Москвич» планирует начать выпуск китайских машин нового бренда. Переговоры ведутся с 2023 года Завод «Москвич» ищет второго восточного партнера, с которым он сможет работать параллельно с JAC, о чем пишет РБК со ссылкой на собеседника в КамАЗа и еще один источник, знакомый с планами компании. «Вопрос находится на стадии переговоров. Они ведутся ...

Mercedes-Benz обошла компанию Tesla: немцы первыми запускают автопилот третьего уровня. Названа стоимость подписки Компания Mercedes-Benz выпускает новую версию автопилота Drive Pilot, став первым в мире автопроизводителем, предлагающим в США сертифицированную технологию автономного вождения 3-го уровня. Автопилот третьего уровня, напомним, подразумевает возможность для водителя пол...

Российский автомобиль Zetta так и не выйдет: у разработчиков закончились деньги Тольяттинский электромобильный стартап Zetta закрылся, а помещение и оборудование, которые ранее принадлежали компании, были проданы. По данным издания «Автоброкер Клуб», в этом здании будут располагаться другие фирмы, которые будут выпускать мебель и квадро...

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

Samsung хочет создать датчик изображения с собственным искусственным интеллектом Компания Samsung, как сообщается, предположительно, работает над новым датчиком изображения с интегрированным искусственным интеллектом.   Для этого датчик нужно оснастить собственным микропроцессором и собственной памятью, но такие решения мы уже видели у Sony. &...

«Зачем вам так много машин одного класса и очень похожего качества?». Глава Xiaomi критикует коллег по автомобильному рынку Основатель Xiaomi Лэй Цзюнь рассказал, почему Xiaomi решила выпускать изначально только один автомобиль. Он не понимает, зачем китайские производители выпускают так много очень похожих машин (даже в пределах одной компании). Лэй Цзюнь сказал, что он почувствовал «...

Завод Volvo в Калуге заработает в этом году. Заводы Volkswagen и Peugeot также перезапустят Завод Volvo в Калуге возобновит работу уже в этом году, а в следующем планирует выйти на полную мощность, о чем сообщил губернатор Калужской области Владислав Шапша. Вот сегодня новость о заводе Volvo в очередной раз нас догнала — то, о чем я говорил раньше, что V...

Ученые разработали оптический диск, сопоставимый по объему с 15 000 DVD-дисков Ученые разработали оптический диск емкостью 1 Петабит Оптические диски, такие как CD, DVD и Blu-ray, обладают некоторыми важными преимуществами перед USB накопителями. Прежде всего они недорогие, прочные и долговечные. Это позволяет хранить информацию на них в течение многих...

Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel, похоже, намекает нам на то, что в будущем процессоры вполне смогут потреблять более 1 кВт мощности. Совместно с Submer процессорный гигант анонсировал революционную, как говорят участники, разработку в области однофазной технологии погружного охлаждения,...

В WhatsApp запускают аналог ChatGPT и Midjourney – можно задавать вопросы и спорить с ботами, генерировать стикеры и фотореалистичные изображения На мероприятии Meta* Connect команда WhatsApp рассказала о грядущих изменениях в популярном мессенджере. В WhatsApp планируется добавить несколько новых функций на основе системы искусственного интеллекта.  Сразу после конференции некоторым пользователям станут до...

Nissan начнёт лить электромобили по технологии Tesla для удешевления производства Японский автопроизводитель Nissan объявил о планах внедрить технологию гигакастинга для удешевления производства автомобилей, в том числе электрических моделей.

Это Lada Granta в новом цвете. С конвейера сошла первая значимая партия машин в краске «Борнео» Как и ожидалось, АвтоВАЗ начал окрашивать автомобили Lada в новые цвета. Первыми новую краску получили Lada Granta, о чём сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте». В вечернюю смену, 27 ноября, с конвейера АвтоВАЗа сошла первая значимая...

Intel установила первый литографический сканер ASML, который будет использован при выпуске чипов по технологии Intel 14A Его ещё предстоит настроить и ввести в эксплуатацию.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Kia просит дилеров продавать новейший топовый кроссовер без наценок, но не факт, что у них это получится Первый электрический семиместный автомобиль Kia начнет поступать в салоны США до конца года. Клиенты уже могут оформить предварительный заказ на базовый EV9 Light по цене 56 395 долларов. Как стало известно, южнокорейский производитель хочет защитить своих клиентов от н...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

RocketStar увеличила тягу на 50% с новым ионным двигателем Компания RocketStar объявила о достижении прорыва в разработке космических двигателей. Недавние испытания ионного двигателя M1.5 FireStar Drive, разработанного компанией, показали увеличение тяги на 50% благодаря технологии безнейтронного ядерного синтеза. В 1964 году С...

Крупная партия новых кроссоверов Lada прибыла с нового завода в Санкт-Петербурге к дилеру в Тольятти Партию из 120 новых кроссоверов Lada X-Cross 5 доставили из Санкт-Петербурга к дилеру в Тольятти, о чем сообщает инсайдерский паблик Avtograd News в соцсети «ВКонтакте». Порядка 120 автомобилей Lada X - Cross 5 ( на базе FAW Bestune T 77) были доставлены с з...

iQOO Z9 Turbo получит 80-Вт зарядку В базе данных китайского регулятора 3C появилась информация о смартфоне iQOO Z9 Turbo, который еще не был представлен официально. Агенство подтвердило наличие поддержки 80-Вт проводной зарядки, модема для сотовых сетей пятого поколения и адаптеров питания V8073L0A1-CN и V807...

Он затмит Lexus: Toyota решила создать ультра-роскошный бренд Century Toyota решила создать ультра-роскошный бренд Century, который будет ещё выше, чем Lexus, о чем пишет Forbes. Напомним, в прошлом году представили новый кроссовер Century, который пока что называют Toyota Century, но в дальнейшем машины избавятся от названия материнской ...

Mazda работает над первым автомобилем с шасси из углеродного волокна Mazda изучает новые материалы для снижения массы новых машин. В патенте, выданном автопроизводителю всего несколько дней назад, подробно описываются методы и процессы, которые он будет использовать для создания такого автомобиля. Другие патенты, опубликованные недавно а...

Для самой большой атомной стройки «Аккую» уже поставлено материалов и оборудования на 650 млн долларов только со стороны Турции Для строительства АЭС «Аккую» было поставлено материалов и оборудования со стороны Турции на 650 млн долларов, о чем сообщил руководитель Турецкого института стандартов Махмут Сами Шахин. «Наш институт обеспечивает техническую поддержку для производите...

Российский Citroen C5 Aircross получит поддержку Apple CarPlay и Android Auto, новый мотор и подогрев задних сидений. Производство на заводе «ПСМА Рус» могут расширить до 5 моделей Появились подробности о модернизации кроссовера Citroen C5 Aircross, производство которого началось на калужском заводе «ПСМА Рус». Фото: «ПСМА Рус» Как рассказал ресурс Quto.ru директор по стратегическому развитию компании «Автомобил...

Huawei Pura 70 только представили, а ключевое нововведение Huawei Pura 80 уже известно: следующий флагман позволит дольше использовать камеру Серия смартфонов Huawei Pura 80 будет оснащена датчиком изображения OmniVision OV50X, который производится по нормам 22-нм техпроцесса, о чем сообщил инсайдер Digital Chat Station. Напомним, в Huawei Pura 70 Ultra используется датчик изображения Sony IMX989, который вып...

Microsoft DirectML получил поддержку NPU от Intel Microsoft совместно с Intel работают над добавлением поддержки нейронных процессоров (Neural Processing Unit, NPU) в API DirectML. Об этом сообщается в блоге Windows Developer. NPU представляет собой новое направление в мире аппаратных решений, специально разработанное для ...

Отечественный заменитель Lada — стало известно, когда будет представлена первая модель XCITE Новообразованная пресс-служба «Автозавода Санкт-Петербург» начала выполнять свою работу и сообщила о том, когда стоит ожидать подробности о первой модели XCITE («ИКСAЙТ»). Анонс автомобиля, который будет выпущен под новым брендом, состоится во вт...

Huawei выпустила собственный 5-нм процессор В конце лета Huawei представила смартфон Mate 60, который стал первым устройством, оснащенным одним из новых фирменных 7-нм процессоров компании. Kirin 9000S стал первым в линейке чипов, не подпадающих под санкции. Теперь китайский производитель объявил о выпуске нового 5-нм...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Представлен Suzuki Jimny в стиле раллийного Mercedes-Benz G-класс Компания Damd взяла небольшой внедорожник Suzuki Jimny и сделала его похожим на раллийный Mercedes-Benz G-Class. Машина получила название Little G Traditional. Полный комплект состоит из 10 улучшений. В частности, пПоявилась новая решетка радиатора с горизонтальными пл...

Характеристики AMD Ryzen 8000G попали в сеть Сегодня характеристики и основные особенности APU (система на кристалле с интегрированной графикой) AMD Ryzen 8000G под кодовым названием Hawk Point утекли в сеть благодаря компании ASRock, которая эту информацию подтвердила. Новые системы на кристалле из семейства Hawk Poin...

Samsung, Vodafone и AMD демонстрируют первую в отрасли технологию Open RAN Samsung Electronics, совместно с Vodafone и AMD, анонсировали успешное внедрение первой в индустрии технологии Open RAN, демонстрируя виртуализированную радиодоступную сеть на процессорах AMD и подтверждая лидерство компаний в развитии экосистемы Open RAN.

Подушки безопасности, электронные блоки управления, рулевое колесо и ремни безопасности. В России откроют новое производство Российская группа «Соллерс» готовится к самостоятельному производству компонентов для системы пассивной безопасности автомобилей, как сообщает РБК, ссылаясь на источник в компании. По данным собеседника издания, проект будет реализован в сотрудничестве с кит...

Корейские ученые работают над новым типом аккумуляторов, способных заряжаться за считанные минуты Новая технология также позволит избежать перегрева устройств и будет более доступной

iPad Pro получит более тонкие рамки и чип М3 Несколько часов назад появился новый слух от достаточно надёжных источников о том, что не только серия смартфонов нового поколения iPhone 16 будет иметь более тонкие рамки — теперь поставщики секретной информации уверены, что производитель решил использовать аналогичные преи...

Huawei представит GoPaint, свое новое приложение для рисования и творчества Компания Huawei запустит новое приложение для рисования на планшетах под названием GoPaint. В официальной учетной записи Huawei также был выпущен анонс приложения GoPaint: «Творчество начинается здесь! Мощное приложение GoPaint, разработанное Huawei, скоро появится, увидимся...

Такого яркого экрана не будет ни у кого. OnePlus рассказала о дисплее OnePlus 12 Вчера OnePlus раскрыла параметры камеры своего нового флагмана OnePlus 12 и показала очень много примеров фото, а сегодня «экранный» день. Компания опубликовала тизер, на котором отразила одну из главных характеристик камеры OnePlus 12 – яркость панел...

В России наладят выпуск нового 19-местного микроавтобуса, аналога ГАЗели Next A65R52 В России готовятся выпустить новый микроавтобус, рассчитанный на 19 мест, о чем сообщает инсайдерский телеграм-канал «Автопоток». Sollers готовит новую версию микроавтобуса Atlant (JAC Sunray) - удлинённый микроавтобус на 19 мест, аналог длиннобазной ГАЗели ...

Лу Вейбинг и Лэй Цзюнь просят поддержки для флагманской линейки Redmi K70 Лу Вейбинг, президент Xiaomi Group, президент международного отдела и генеральный менеджер бренда Redmi, похоже, начал готовить поклонников к анонсу Redmi K70. «Давайте обсудим, стоит ли увеличивать производственные планы и увеличивать заказы», — с так...

Официально: автомобили Lada начнут красить в новые цвета до конца 2023 года АвтоВАЗ начнет окрашивать автомобили Lada в новые цвета. Их получат Lada Vesta, Granta и Niva Legend, о чем сообщили «Газете.Ru» в пресс-службе компании. «В декабре 2023 года планируется начать применение «Платина» на Vesta, темно-серого &l...

Оптовая Перевозка Радиокомпонентов: Путь из Гонконга в СНГ В непрерывной пульсирующей жизни высокотехнологичного мира, технические чудеса, интегрирующие в себе сложнейшие электронные компоненты, прокладывают путь к инновационным решениям в мире электроники. Именно поэтому, доставка микросхем из Гонконга выделяется сегодня как ключев...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Российская компания начнёт производить базовые станции 2G/4G в апреле Российский производитель телекоммуникационного оборудования «Булат» (дочерняя компания Ростелекома) планирует с апреля текущего года начать среднее и мелкое производство отечественных базовых станций стандартов 2G/4G. Об этом сообщил гендиректор компании Але...

GAC хочет выпускать машины в России. Что мешает? Популярный китайский производитель GAC с осторожностью говорит о возможности появления в России завода по выпуску соответствующих автомобилей. Постоянное повышение утилизационного сбора на автомобили, которые ввозятся в Россию, заставляет GAC обсуждать возможность локал...

Первая по-настоящему новая машина за 17 лет. Легендарный кросс-минивэн Mitsubishi Delica шестого поколения кардинально сменит дизайн и впервые станет гибридом Японский ресурс BestCarWeb привел подробности о совершенно новой Mitsubishi Delica. Машина нынешнего поколения выпускается с 2007 года и уже прилично устарела (рестайлинг был, но пять лет назад). Прообраз новой Delica шестого поколения был продемонстрирован на Japan Mob...

Meizu уходит из бизнеса смартфонов и меняет направление Meizu объявила о своем решении прекратить разработку новых смартфонов. Вместо этого Meizu решила сосредоточить свои усилия на разработке и создании «устройств завтрашнего дня», управляемых искусственным интеллектом. Шэнь Цзыюй, председатель и генеральный дир...

Достижения Китая в разработке метаноловых двигателей: ракеты Long March 9 и Long March 5 Китай активно развивает программу создания двигателей на основе полного цикла сгорания метана для своего многоразового грузового ракетоносителя Long March 9. Разработка таких двигателей, обеспечивающих тягу в 200 тонн, включает  полный цикл проектирования и создани...

SMIC, как сообщается, наращивает производственную линию по выпуску 5-нанометровых микросхем в Шанхае Согласно свежему сообщению Reuters, крупнейший контрактный производитель чипов в Китае связан с разработкой SoC следующего поколения Huawei

Huawei обещает написать «новую историю»: множество автомобильных компаний будут использовать решения компании Huawei дразнит важным анонсом и обещает написать «новую историю». Подробности будут опубликованы 24 апреля этого года на Пекинском автосалоне. На данный момент известно, что в ходе пресс-конференции Huawei будет сделан анонс, который охватывает несколько авт...

Стартап Flawless Photonics успешно произвёл более 5 километров прозрачного оптического волокна на МКС Американский стартап Flawless Photonics, базирующийся в Кремниевой долине, создал на МКС более 5 километров ZBLAN всего за две недели. ZBLAN — это оптическое волокно, которое обладает высокой прозрачностью и широко используется на Земле в лазерных системах и усили...

Intel обошла Samsung по прибыли от продажи полупроводников Компания Counterpoint Research составила рейтинг прибыли крупнейших поставщиков чипов в 2023 году. Как оказалось, Samsung лишилась первого места в этом списке, уступив его производителю десктопных процессоров

Вместо Mercedes российский завод будет собирать премиальные Chery и Exeed Компания Chery может начать производство своих автомобилей на бывшем заводе Mercedes-Benz в подмосковном Есипово, о чем пишет «Авто.Mail.ru» со ссылкой на два источника, знакомых с ходом переговоров. По данным источников, именно Chery стала главным претенден...

Intel сдалась и просит производителей системных плат очень сильно ограничить все современные CPU Core i9 Похоже, вскоре все процессоры Intel Core i9 двух последних поколений станут намного медленнее без выбора со стороны пользователей. Как сообщается, Intel обратилась к производителям системных плат с просьбой установить специальные настройки Intel Default Settings в качес...

Развивая технологию F5G, Huawei запускает три корпоративные оптические сети На саммите «Эволюция F5G, повсеместное внедрение интеллекта», проведенном в рамках конференции HUAWEI CONNECT 2023, компания Huawei поделилась своими методами применения технологии F5G с глобальными клиентами и партнерами в различных отраслях, от электроэнергетики до образов...

Главный обман смартфонов на процессорах MediaTek: вам продают старье под видом новых моделей Поскольку процессор является сердцем смартфона, которое непосредственным образом влияет на производительность, автономность и даже качество фото, мы всегда рекомендуем обращать пристальное внимание на эту характеристику. В современных моделях, как правило, встречаются решен...

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Adobe представила инновационную технологию редактирование фото силами ИИ На предстоящей конференции Adobe Max компания Adobe подробнее расскажет о новом инструменте для редактирования фотографий Project Stardust. Этот инновационный инструмент, использующий искусственный интеллект для понимания объектов на фотографиях, позволяет пользователям легк...

В мире Android так и не поняли, зачем нужны 24 ГБ ОЗУ, да и цены растут. В новых флагманах устанавливают по 8-16 ГБ Из-за роста цен на материалы и окончания всплеска популярности большого объёма памяти сегодня сложно найти смартфоны с 24 ГБ ОЗУ и 1 ТБ флеш-памяти. Наиболее распространенными конфигурациями становятся 16/512 ГБ и 16 ГБ/1 ТБ. Ранее сообщалось, что крупные производители ...

Аппетиты резко поумерили: в этом году в Россию планируют завезти в четыре раза меньше машин MG, чем планировалось ранее Официальный представитель бренда MG в России, ООО «ЭмДжи Мотор Рус», заявил о своих намерениях ввезти в страну 5000 автомобилей в 2024 году. Интересно, что аппетиты упали буквально за месяц: еще в феврале было заявлено, что по итогам 2024 года планирует...

Технология AMD Fluid Motion Frames обещает на 97% большую частоту кадров в 1080p с новыми драйверами Radeon Компания AMD выпустила последнюю версию драйверов AMD Software Adrenalin – версию 24.1.1 WHQL. Новые драйверы добавляют поддержку технологии AMD Fluid Motion Frames (AFMF), которая обещает повысить FPS до 97 процентов в любой игре DirectX 11 и DirectX 12. Новая технология…

Apple хотела использовать GPS iPhone для настройки Adaptive Audio ... В новом интервью разработчики Apple рассказали, что на этапе создания технологии Adaptive Audio для наушников AirPods Pro компания рассматривала возможность использования данных GPS iPhone для автоматического изменения режимов шумоподавления.

Новые смолы BioMed Flex 80A и BioMed Elastic 50A для создания эластомерных, биосовместимых устройств и моделей Компания Formlabs объявила о выпуске двух новых материалов для 3D-печати эластомерных, биосовместимых медицинских устройств и моделей. BioMed Flex 80A Resin и BioMed Elastic 50A Resin еще больше расширяют возможности 3D-печати при производстве медицинских изделий и медицинск...

Насколько близко мы подошли к созданию терминатора? Хладнокровная машина, не знающая печали и жалости. Неумолимо и прямолинейно выполняющая поставленную ей задачу до конца. Таким запомнился зрителю терминатор из одноимённого фильма. Согласно его сценарию, бездушная машина прибыла к нам из будущего. И вот, именно сейчас мы под...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Яркость, контрастность и дистанция до 150 метров: Cactus представил новую линейку проекторов Бренд расходных материалов и офисного оборудования Cactus представил новое семейство проекторов, в которое входит пять моделей. От прежних устройств (например, того же Cactus PRM.05B) их отличают проекционная система, яркость, контрастность и акустические...

Это новый этап для «Москвича»: робот «Винни» помогает при мелкоузловой сборке автомобилей Завода «Москвич» в своем официальном телеграм-канале представил робота, который используется при мелкоузловой сборке автомобилей.  Совсем скоро на заводе будет запущена мелкоузловая сборка автомобилей. Один из ключевых участников нового технологическог...

BMW Z4 2024 получит МКПП. Это та же трансмиссия, что и у Toyota GR Supra 2023 BMW Z4 2024 модельного года получит механическую коробку передач, которой не было в данной линейке уже давно. Напомним, ранее Toyota вернула в GR Supra шестиступенчатую механическую коробку передач для модели 2023 года. Сама трансмиссия у обоих автомобилей общая, но, по...

Слух: iPhone 16 получит усиленные антенны за счёт новой технологии Anokiwave Тайваньская компания UMC, производитель полупроводников, разработала и будет производить новые комплектующие для антенных модулей iPhone 16. Об этом со ссылкой на отчёт о цепочке поставок Apple сообщило издание GizmoChina.

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

[Перевод] Прощайте, базы данных, да здравствуют векторные базы данных Революция в области искусственного интеллекта переформатирует все отрасли нашей жизни, с одной стороны обещая невероятные инновации, а с другой ー сталкивая нас с новыми вызовами. В безумном потоке изменений эффективная обработка данных становится приоритетом для приложений, ...

Новейший Nissan GT-R отправят на пенсию всего через два года после анонса: некоторые детали «больше нельзя будет производить» Как стало известно, Nissan GT-R 2025 модельного года будет анонсирован 14 марта. В следующем году данная модель будет снята с производства, о чем пишет издание Mag-X со ссылкой на собственные источники. В финальной партии будет всего 1500 автомобилей, при этом 300 машин...

Успеть в последний вагон. У дилеров заканчиваются дешевые автомобили 2023 года выпуска «Автостат» в ходе опроса дилеров выяснил, что в России начали заканчиваться автомобили 2023 года выпуска. Именно такие машины сейчас продают с большими скидками (например, «Москвич 3» подешевел на 700 тыс. рублей), а когда авто 2023 года будут вы...

«Яндекс Лавка» предлагает свои технологии сетевым магазинам «Яндекс Лавка» запустила направление по продаже собственных технологий сетевым ритейлерам. Ритейлеры могут выбрать весь набор технологий, которые предлагает сервис, или отдельные модули, например, системы для сборки заказов с полок магазинов, для управления ...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)