Социальные сети Рунета
Четверг, 16 мая 2024

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Canon совершила революцию в мире полупроводников – что не так с технологией NIL-литографии Canon представила новое оборудование для производства полупроводников по 5-нм нормам

Китай наносит ответный удар США, который ощутят Intel и AMD: операторы связи должны полностью отказаться от чипов иностранного производства к 2027 году Китайские операторы связи должны отказаться от использования чипов иностранного производства в сетевом оборудовании к 2027 году, согласно новому требованию властей. Как сообщает сообщает газета The Wall Street Journal (WSJ) со ссылкой на собственные источники, Министерс...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Росатом представил разработки в сфере 3D-печати на Всемирном фестивале молодежи Компания-интегратор атомной отрасли в области аддитивных технологий «РусАТ» представила новейшее отечественное оборудование для аддитивного производства и 3D-сканирования, а также ряд 3D-печатных изделий, в том числе выполненных по технологии прямого лазерного выращивания.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

Японская Rapidus получит $3,9 млрд субсидий на закупку оборудования И разработку передовых технологий упаковки чипов.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

ZTE представила свой новый флагман Axon 60 Ultra ZTE Axon 60 Ultra получил передовые характеристики и технологию спутниковой связи.

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Samsung готовит чип Exynos 2500 для работы с ИИ от Google Можно уверенно заявить, что искусственный интеллект стал неотъемлемой частью мира передовых технологий — ни один новый гаджет в мире не выходит без поддержки каких-то новых функций на базе ИИ. И компания Samsung работает в этом плане активнее остальных — недавно, например, п...

Архангельск импортозаместил оборудование для лесопромышленности Губернатор Александр Цыбульский сообщил о новых достижениях в области производства лесозаготовительного оборудования в Архангельской области. На Международной выставке-форуме «Россия» в Москве он представил три новые отечественные головки харвестеров, созданные с целью сниже...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Несмотря на запреты США, Китай нарастил импорт чипового оборудования вдвое Новый доклад Конгресса США показал, что несмотря на запрет экспорта передовых технологий, Китай сумел вдвое нарастить закупки оборудования для производства чипов в 2023 году.

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Airbus представил новейший летающий испытательный полигон для вертолетов Airbus Helicopters представила летающую вертолетную лабораторию PioneerLab - инновационный проект, направленный на совершенствование технологий винтокрылых машин и повышение «экологической устойчивости».

Индонезия запустила серийное производство новейшего танка Tiger Данная техника стала первым современным средним танком, имеющим передовые технологии

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

Илон Маск рассказал о планах колонизации Марса Глава компании SpaceX, Элон Маск, представил обновленную программу Starship в своей последней презентации, раскрыв планы на будущие ракеты, включая четвертый испытательный полет и цели на 2024 год

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Представлены новые ноутбуки LG Gram Pro и Gram Pro 360 2024 LG представила серию ноутбуков LG Gram Pro, оснащенную передовой технологией искусственного интеллекта.

Shanghai Electric представил новые решения на Всемирном саммите по энергетике будущего 2024 в Абу-Даби Shanghai Electric Power Generation Group, ключевая дочерняя компания Shanghai Electric, специализирующаяся на производстве энергетического оборудования, проектировании и обслуживании электростанций, продемонстрировала свое передовое энергетическое оборудование и решения для ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Это новый Mitsubishi Endeavor на базе Nissan Pathfinder. Новинка может составить конкуренцию Toyota Highlander и Kia Telluride Mitsubishi рассматривает возможность разработки кроссовера на основе Nissan Pathfinder, о чем сообщает «За рулём». Mitsubishi Endeavor, выпускавшийся с 2003 по 2011 годы, может получить новое поколение после того, как был снят с производства из-за низкой поп...

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Южная Корея инвестирует $ 7 млрд в искусственный интеллект Президент Южной Кореи Юн Сок Ёль объявил о планах инвестировать почти $ 7 миллиардов в искусственный интеллект к 2027 году с целью стать глобальным лидером в создании передовых полупроводников. Эта инициатива направлена на укрепление позиций страны в производстве микросхем, ...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

TCL создала что-то среднее между “читалкой” и планшетом: NXTPAPER 14 Pro Компания TCL представила новую линейку планшетов NXTPAPER, которая ориентирована на комфорт глаз. Новые 14- и 10-дюймовые модели с передовой технологией NXTPAPER 3.0 обеспечат удобство чтения, смешивая черты электронных «читалок» и планшетов.

Xiaomi представила «умный» электрический водонагреватель Mijia Smart 60L P1 мощностью 3300 Вт Водонагреватель Xiaomi Mijia Smart 60L P1 получил передовые технология и функции нагревания воды.

Какое «железо» получит новая консоль Xbox, которая выйдет в 2026 году Слухи о новом поколении игровой консоли Xbox, которое, как ожидается, выйдет в 2026 году, указывают на использование передовых технологий AMD Zen5 и RDNA5.

iPhone 17 может получить новое антибликовое стекло повышенной прочности Согласно источнику, оборудование для нанесения нового покрытия уже настроено, но технология не будет готова к моменту выпуска iPhone 16, что делает ее эксклюзивной для iPhone 17.

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

HP представила новый ноутбук EliteBook 635 Aero G11 Новинка премиум-сегмента с передовыми технологиями уже доступна на рынке Японии

Новая PlayStation 5 Pro от Sony выходит позже в этом году Обновленная PlayStation 5 Pro от Sony получит мощное "железо" и передовые технологии масштабирования, обеспечивая улучшенную графику и производительность игр.

В Москве прошла выставка Ruplastica 2024 С 23 по 26 января 2024 года в ЦВК «Экспоцентр» прошла международная выставка пластмасс и каучуков Ruplastica с экспозициями Upakexpo, Recycling Solutions и Additive Minded, а также с новым проектом «Пресс-формы и штампы». Выставка представила на передовые решения ведущих рос...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

США потребовали от компании ASML Holding NV отменить отправку своего оборудования в Китай Администрация Байдена стремится изолировать Пекин от передовых технологий

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Китайские компании предоставят оборудование и технологии для производства каменной ваты в России На Российско-китайском форуме межрегионального сотрудничества было подписано соглашение о строительстве завода по производству каменной ваты в Оренбургской области с использованием китайских технологий и оборудования. Завод планируется разместить в городе Новотроицке.

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

Китай вложил 40 миллиардов долларов в импорт оборудования для производства чипов в 2023 году После заявлений о скорых санкциях со стороны США, китайские компании начали активно скупать всё новейшее оборудование

В Тольятти начнется выпуск «мягкой» панели приборов для Lada Vesta. Производство перенесли из Ижевска в рекордные сроки АвтоВАЗ сообщил о завершении проекта переноса производства Lada Vesta из Ижевска в Тольятти, последним этапом переезда стал перенос технологии изготовления так называемой «мягкой» панели приборов. На это ушло всего 6 недель. Новое оборудование установили в Т...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Google представил Bard 2.0: чатбот на базе Gemini готов свергнуть ChatGPT Google Bard получил серьезное обновление. Бывший аутсайдером чат-бот выходит на первый план с новой моделью Gemini. Теперь он претендует на то, чтобы сравняться с технологиями OpenAI и даже превзойти их.

Компания Lenovo представила новый суперкомпьютер MareNostrum 5 MareNostrum 5 обладает исключительной производительностью и использует передовую технологию прямого водяного охлаждения Neptune от Lenovo

Toshiba представляет жёсткие диски ёмкостью более 30 Тбайт с использованием технологий HAMR и MAMR Toshiba, глобальный лидер в области электроники, представила революционные серийные жёсткие диски ёмкостью более 30 Тбайт. Благодаря передовым технологиям HAMR и MAMR, компания значительно увеличила плотность записи данных, открыв новые возможности для хранения и обработки и...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Peloton заключит эксклюзивное партнерство с TikTok Peloton Interactive заключает партнерство с TikTok для представления своих тренировок на новой платформе. Компания меняет стратегию с производства оборудования на развитие программного обеспечения из-за снижения спроса на фитнес-оборудование после пандемии.

Китай отлично справляется с санкциями США. Особенно преуспели производители оборудования для производства чипов По мере того как США ужесточают ограничения в отношении китайской полупроводниковой промышленности, всё большую выгоду из ситуации извлекают китайские производители оборудования, используемых для производства чипов. Заказы на их продукцию в последние месяцы увеличиваютс...

Космическое агентство Китая представило ракету последнего поколения Changzheng-8A Китай представил новую ракету Changzheng-8A с увеличенной грузоподъемностью и передовыми технологиями для поддержки своей растущей программы освоения космоса.

TOPDON представил тепловизионную камеру с регулируемым объективом   TOPDON, ведущий поставщик новейших технологий и передовых инструментов для занимающихся ремонтом автомобилей профессионалов и энтузиастов, представил тепловизионную технологию профессионального уровня, реализованную в новой камере TS001. Этот длиннофокусный тепловизор на осн...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Российские инженеры создали новую сверхдешёвую технологию производства пластин для выпуска чипов Не исключено, что помешать реализации планов может помешать эмбарго галлия со стороны Китая

SK hynix отрицает факт возобновления переговоров между Western Digital и Kioxia о слиянии И готовится получить от ASML передовое оборудование для экспериментов.

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

Meta выпустила новый генератор изображений с ИИ для веб и своих приложений Meta представила Imagine with Meta, передовой независимый генератор изображений AI, сделав значительный шаг вперед в области технологий искусственного интеллекта.

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Bambu Lab X1E Combo - ваш билет в будущее производства! Новое видео на нашем YouTube-канале!Окунитесь в мир передовых технологий производства. В этот раз мы представляем Вам распаковку и обзор инновационного 3D-принтера Bambu Lab X1E Combo!Сервисный инженер iGo3D Russia, Дмитрий Тиняков, покажет комплектацию, расскажет о деталях ...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

NVIDIA представит новые инновации в области искусственного интеллекта на CES 2024 В преддверии выставки CES, которая пройдет в следующем месяце в Лас-Вегасе, NVIDIA представит свои последние достижения в области искусственного интеллекта, включая генеративный ИИ, и ряд других передовых технологий

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

США хотят запретить России и Китаю передовые ИИ от Google и OpenAI Администрация США намерена ввести новые ограничения на экспорт передовых моделей искусственного интеллекта в Китай и Россию, чтобы защитить американские технологии от возможного использования в военных целях.

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Nissan начнёт лить электромобили по технологии Tesla для удешевления производства Японский автопроизводитель Nissan объявил о планах внедрить технологию гигакастинга для удешевления производства автомобилей, в том числе электрических моделей.

TOPDON представил тепловизионную камеру с регулируемым объективом   TOPDON, ведущий поставщик новейших технологий и передовых инструментов для занимающихся ремонтом автомобилей профессионалов и энтузиастов, представил тепловизионную технологию профессионального уровня, реализованную в новой камере TS001. Этот длиннофокусный тепловизор на осн...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Нидерланды готовы отказывать Китаю в обслуживании оборудования ASML Ранее такого шага от нидерландской компании требовали Соединённые Штаты Америки, чтобы изолировать Китай от передовых технологий

Looking Glass Factory представила два новых дисплея на основе технологии пространственной голографии Компания Looking Glass Factory представила два новых пространственных дисплея – 16- и 32-дюймовые модели, которые открывают новые возможности для трехмерной визуализации без использования специальных гарнитур. Эти инновационные экраны предназначены для специалистов, таких ка...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Hisense совместными с Xbox усилиями привнесла в индустрию игр передовой лазерный дисплей Hisense, глобальная корпорация по производству бытовой электроники и техники, объявила о новом партнерстве с Xbox с целью вывести впечатления от домашних игр и развлечений на новый уровень за счет передовой технологии лазерных дисплеев. Партнерство, объединяющее лазерные дис...

Китай ускоряет развитие новых технологий в стремлении к самообеспечению Среди конкретных направлений - квантовая информация, искусственный интеллект, аналитика больших данных и другие передовые технологии

До 192 ГБ ОЗУ, 24 ТБ SSD, Intel Core i9-14900K и Nvidia GeForce RTX 4090 в компактном корпусе с СЖО. Представлен настольный ПК Corsair One i500 Corsair представила новый настольный ПК Corsair One i500, который, по заявлению произвдоителя, на 30% меньше стандартного настольного компьютера, но при этом оснащен топовой начинкой, включая процессор Intel Core i9-14900K и видеокарту Nvidia GeForce RTX 4090. Последние...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

В сети появились характеристики Xiaomi 14 Ultra Как всегда флагман Xiaomi получит самое передовое оборудование и технологии, а также станет лидером по их внедрению

Представлен первый прозрачный дисплей Samsung MicroLED Прозрачные телевизоры позволяют получить новые впечатления от просмотра. Используя эту революционную технологию, компания Samsung Electronics усовершенствовала свою передовую технологию дисплей Micro LED, чтобы расширить возможности пользователей по просмотру контента. ...

В Севастопольcком госуниверситете изобрели перспективный нанопорошок Учеными Севастопольского госуниверситета изобретен уникальный и весьма перспективный нанопорошок, который наверняка найдет применение в авиастроительной отрасли, средствах защиты от радиации, а также при производстве передового оборудования и композитных материалов.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

Samsung готовит новое поколение памяти LPDDR5x для автомобилей Сегодня южнокорейская компания Samsung официально объявила о планах по внедрению памяти новых форматов LPDDR5x, GDDR7 и AutoSSD (твердотельная память специально для автомобильного рынка) в автомобильной промышленности в самом ближайшем будущем. В рамках форума об инновационн...

Выход за границы крупногабаритной печати: Eplus3D представляет EP-M2050 с 36 лазерами! Широкоформатная мультилазерная система аддитивного производства металлических деталей EP-M2050 обладает 36 лазерами и задает новые стандарты производительности, универсальности и эффективности. Это оборудование, основанное на передовых технологиях, позволяет изготавливать ра...

КМЗ заключил крупные контракты на импортозамещение с применением аддитивных технологий Кингисеппский машиностроительный завод заключил контракты на сумму свыше ста миллионов рублей с частными предприятиями на производство комплектующих для оборудования ушедших с российского рынка западных компаний. В производстве литейных форм завод использует 3D-принтеры собс...

Исследователи KAIST представили натрий-ионную батарею, способную заряжаться за считанные секунды Исследователи из Корейского института передовых технологий (KAIST) представили новую натрий-ионную батарею, которая может зарядиться за несколько секунд, что значительно расширяет ее применение, включая электромобили и портативные электронные устройства.

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Без Lada Iskra, но зато с Niva Sport, Lada Aura, двухпедальной Vesta и новым брендом. Раскрыты новинки АвтоВАЗа в 2024 году Сегодня АвтоВАЗ провел пресс-конференцию, на которой подвел итоги работы в 2023 году и обозначил план работ на 2024 год. Этот план включает шесть новых моделей (или модификаций ныне выпускающихся авто). Перечень потенциальных новинок выглядит так: Lada Vesta 1.8 CVT (...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Грузовой корабль «Прогресс МС-27» успешно прошёл вакуумные испытания На космодроме Байконур завершились испытания транспортного грузового корабля «Прогресс МС-27» на герметичность. Они прошли в вакуумной камере монтажно-испытательного корпуса площадки 254, начались испытания ещё 19 апреля. Специалисты отметили, что автоматизи...

3D-технологии все ближе: осенние акции на оборудование от 3DVision Всем 3D-привет!3D-технологии становятся все более доступными и востребованными в различных отраслях промышленности. Именно поэтому сейчас самое время узнать о горячих осенних акциях 3DVision на оборудование для 3D-печати и сканирования. Начнем с осеннего предложения на промы...

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

Samsung представила 27" OLED QuadHD-монитор с частотой обновления 360 Гц по не самой высокой цене Компания Samsung анонсировала новый игровой монитор G60SD на китайском рынке, который предлагает передовые технологии по доступной цене.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Hisense совместными с Xbox усилиями привнесла в индустрию игр передовой лазерный дисплей Hisense, глобальная корпорация по производству бытовой электроники и техники, объявила о новом партнерстве с Xbox с целью вывести впечатления от домашних игр и развлечений на новый уровень за счет передовой технологии лазерных дисплеев. Партнерство, объединяющее лазерные дис...

РУСАЛ разработал жаропрочный алюминиевый сплав для 3D-печати Компания «РУСАЛ» завершила разработку порошкового сплава, предназначенного для производства изделий методом 3D-печати по технологии лазерного сплавления. Сплав с эксплуатационными температурами свыше 350°С может найти применение в производстве двигателей, оборудования для не...

Росатом передал SLM 3D-принтер Томскому политехническому университету На международном форуме «Атомэкспо-2024» состоялась передача Томскому политехническому университету (ТПУ) 3D-принтера RusMelt 300M производства Росатома. Оборудование работает по технологии селективного лазерного сплавления металлопорошковых композиций и будет эксплуатироват...

В России запустят производство собственных подушек, ремней безопасности, рулевых колес и ЭБУ В 2025 году компания «Соллерс» планирует расширить свою деятельность, запустив производство ключевых компонентов для систем безопасности автомобилей на своем заводе в Ульяновске. Средства на этот проект в размере 1,2 миллиарда рублей были выделены из Фонда р...

Китай закупает оборудования для производства полупроводников по-максимуму на фоне санкций США Согласно последним таможенным данным материкового Китая, импорт оборудования для производства полупроводников в Китай вырос почти на 80% в октябре по сравнению с годом ранее. Импорт различных инструментов для изготовления микросхем, в том числе тех, которые используются...

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Eve запустила первую в мире интеллектуальную розетку с технологией Matter-over-Thread Eve Systems представила новые умные устройства для дома, включая умную розетку Matter-over-Thread, выключатель и коллекцию жалюзи. Устройства поддерживают передовой мониторинг энергопотребления и будут доступны в ближайшем будущем.

В следующем году Huawei откроет завод во Франции Стало известно о планах китайской компании Huawei построить и запустить завод по производству оборудования для сетей мобильной связи. Строительство должно начаться в 2024 году.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

АвтоВАЗ представил новую мягкую многослойную панель для Lada Vesta Президент АвтоВАЗа Максим Соколов и ключевые руководители на этой неделе посетиле отдел производства пластмассовых изделий, в котором завершился процесс переноса оборудования из Ижевска. В частности, им представили обновлённый цех и новую мягкую многослойную панель для...

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Китай и «эффективность» санкций США: голландский импорт литографии вырос в десять раз Удивительным образом Китай обходит американские ограничения на оборудование для производства микросхем, обращаясь к Нидерландам, которые в ноябре в десять раз увеличили импорт систем литографии. На общую сумму 762,7 миллиона долларов Китай ввез 16 единиц передового оборудова...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Exynos 2400 сможет тягаться со Snapdragon 8 Gen 3? Новая платформа Samsung будет намного энергоэффективнее Топовые однокристальные системы Samsung Exynos из года в год в целом проигрывают флагманским решениям Qualcomm, и не в последнюю очередь из-за худшей энергоэффективности. Новая SoC Exynos 2400 в этом вопросе, возможно, станет исключением. Как сообщается, Samsung начнёт ...

Британские ученые создают органический керосин из человеческих отходов жизнедеятельности Вскоре самолеты смогут летать на специальном керосине. Эта технология передового производства биотоплива.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Optoma выпустила лазерный проектор для домашних развлечений и игр Технологическая компания Optoma представила свой новый продукт - проектор UHZ66, который отличается своей передовой лазерной технологией

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Президент АвтоВАЗа лично проконтролировал производство 122-сильного мотора 1.8 EVO для автомобилей Lada Президент АвтоВАЗ Максим Соколов и исполнительный вице-президент по производству Михаил Рябов посетили производство новых двигателей. В ходе визита профильные руководители и специалисты продемонстрировали сборку и рассказали о перспективах развития двигателей 1.8 EVO, к...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

Российский ИТ-вендор «Инферит» выводит на рынок новые линейки СХД для корпоративного сегмента Российский вендор «Инферит», специализирующийся на разработке и производстве компьютерного оборудования и ПО, представил новые линейки корпоративных систем хранения данных.

Samsung создаёт Exynos 2500 на 2 ни Иностранные инсайдеры сообщают, что компания Samsung, видимо, решила сосредоточиться на своей технологии производства чипов на 2 нм, и, согласно новым данным, компания уже даже тестирует свой новый процессор на базе этого технологического процесса, что, теоретически, может с...

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Использование 3D печати в разных отраслях 3D оборудование постепенно становится все более доступным и завоевывает популярность не только у любителей, но и в производственной среде. 3D печать используется для производства продуктов в разных отраслях - здравоохранении, моде, автомобильной и строительной промышленности...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Ростех импортозаместил фрезерные станки для авиа- и оборонной промышленности Холдинг «СТАН» Госкорпорации Ростех представил новые модели фрезерных станков, предназначенных для предприятий авиационной, двигателестроительной и оборонно-промышленной отраслей. Серийное производство оборудования будет налажено на стерлитамакском НПО «Станкостроение».

TSMC анонсировала новую технологию производства микросхем CoW-SoW TSMC представила прорывную технологию создания сверхбольших микросхем методом 3D-интеграции всей кремниевой пластины.

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Новый лазерный 3D-принтер от Eplus3D принимает до шестидесяти четырех излучателей Китайский производитель промышленных 3D-принтеров представил крупноформатную аддитивную систему EP-M2050 по технологии лазерного порошкового синтеза на подложке (PBF) с использованием металлопорошковых композиций. Для повышения производительности новое оборудование оснащаетс...

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Новый «Патриот» изменится внешне и внутренне Как пишет «Российская газета», председатель совета директоров ПАО «Соллерс» подтвердил, что УАЗ запустил проект по модернизации «Патриот» За последние несколько месяцев наша команда открыла новый автозавод "Соллерс Карго" в ...

На Ставрополье создадут центр по производству беспилотников Владимир Владимиров, губернатор Ставропольского края, сообщил о планах создания научно-производственного центра по производству беспилотных летательных аппаратов. Он подчеркнул, что такой шаг был предпринят в ответ на запросы с передовой и потребности региона.

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Новые Subaru получат технологии Toyota. Следующий Forester станет «другим гибридным электромобилем с горизонтально-оппозитным двигателем» Subaru официально подтвердила, что производство нового Forester будет вестись в Соединенных Штатах. Модель шестого поколения будет собираться в Индиане на заводе в Лафайете. Выпуск седана Legacy на данном предприятии прекратится после 2025 модельного года. Subaru также ...

«Безумная производительность», «передовые технологии» и «больше игр за ваши деньги». Так AMD характеризует новейшую видеокарту Radeon RX 6750 GRE за 305 долларов Сегодня AMD официально представила 3D-карту Radeon RX 6750 GRE. У нее два варианта, которые не сильно отличаются по цене (305 и 325 долларов), но очень разнятся в плане характеристик. Базовая Radeon RX 6750 GRE с 10 ГБ памяти GDDR6 имеет 36 вычислительных блоков, 160-б...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

ГАЗ запустит в производство новый «ПАЗик»: с 5-литровым мотором ЯМЗ-53504 и 6-ступенчатым автоматом У нового «ПАЗика» «Ситимакс 9» скоро появится новая версия, работающая на природном газе: производство автобуса на Павловском автозаводе наладят в 2023-2024 годах. «Ситимакс 9 CNG» получит мотор ЯМЗ-53504 объемом 5,0 л и мощностью 2...

Компания NEARITY представила новое ВКС-оборудование для образовательных учреждений и конференц-залов Компания NEARITY, производитель и поставщик инновационных решений для видеоконференцсвязи, представила в России новые устройства для оснащения переговорных комнат, конференц-залов и аудиторий, — микрофонный массив A31S и комплект потолочных микрофонов AMix140 KIT. Благодаря ...

ТПУ открыл инжиниринговый центр комплексного развития энергетических систем В Передовой инженерной школе «Интеллектуальные энергетические системы» Томского политехнического университета открылся инжиниринговый центр комплексного развития энергетических систем и технологий распределенной энергетики. Для центра уже приобретено оборудование — комплект ...

Pantum продемонстрировал новинки на IT Partners 2024 Ведущий поставщик оборудования для печати Pantum представил свои новинки на выставке IT Partners 2024. Среди представленных разработок — новая серия монохромных лазерных принтеров 22PPM A4, цветных лазерных принтеров 20PPM A4 и цветных светодиодных принтеров 30PPM A3. Это ме...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Asus выпустила 27-дюймовый игровой монитор ROG Jueshen XG27UCS Asus ROG Jueshen XG27UCS Получил все передовые технологии по приемлемой цене.

Samsung может получить преимущество перед TSMC при внедрении 2-нм техпроцесса Одно из преимуществ Samsung перед TSMC - использование технологии Gate-All-Around (GAA)

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

В России начали массово производить серверное оборудование В Рязанской области открылся завод по производству оборудования для серверов

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Canon предлагает клиентам оборудование нового типа для производства 5-нм чипов Оно пока не попадает под санкции США и Японии, направленные против Китая.

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

АвтоВАЗ назвал цвета, комплектации и другие детали о Lada Largus 2024 Старт серийного производства Lada Largus официально назначен на 15 мая 2024 года, а пока что АвтоВАЗ завершает подготовку к серийному производству, о чем рассказано в следующем видео. Прессовое производство локализовало 13 штампованных комплектующих, в основном это лице...

УАЗ «Патриот» получит обновленный двигатель уже в декабре. Что заменили? Автомобили УАЗ получат обновленный двигатель с другими звездочками привода газораспределительного механизма (ГРМ). «Коломенский завод порошковой металлургии» в городе Коломна Московской области запустил серийное производство комплектующих для новых двигателе...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Google и Microsoft объединились для развития чистой электроэнергии Корпорации Nucor Corporation, Google и Microsoft Corporation объявили о сотрудничестве в рамках энергетической экосистемы для разработки новых бизнес-моделей и агрегации спроса на передовые технологии чистой энергии. Основное внимание будет уделено развитию первых коммерческ...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Hyundai Nexo 2026 с принципиально новой силовой установкой: первое качественное изображение и первые подробности Ресурс Carscoops опубликовал подробности о новом кроссовере Hyundai – модель Nexo нового поколения появится лишь в 2026 году и предложит совершенно новую водородную силовую установку. Как сообщается, Hyundai притормозила с разработкой нового Nexo из-за сомнений в...

«Самый востребованный в России легкий коммерческий автомобиль». АвтоВАЗ выпустит 80 машин Lada Largus до мая На заводе «Лада Ижевск» до конца этой недели соберут опытную партию Lada Largus по полному циклу производства. Как сообщает главный редактор журнала «За рулем» Максим Кадаков, первыми будут пять коммерческих фургонов, а всего до мая будет со...

Samsung может внедрить технологию обратной подачи питания в техпроцесс 2-нм класса Это сделает техпроцесс значительно более конкурентоспособным, по сравнению с технологиями Intel 20A и 18A.

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Смартфоны Samsung Galaxy S24 получили новейший Galaxy AI, созданный на базе ИИ-технологий Google На мероприятии Unpacked компания Samsung представила новую серию смартфонов Galaxy S24, оснащенных новейшим сервисом генеративного ИИ под названием Galaxy AI.

В СПбПУ создали передовую технологию производства филамента Изображение: нейросеть DALL-E В лаборатории по полимерным композитам СПбПУ впервые в стране разработали передовую технологию выпуска филамента — это материал для выполнения 3D-печати, в основе которого лежат непрерывные углеродные волокна и термопласты.

iPhone 16 получит кнопки с обратной отдачей Изначально инсайдеры были уверены в том, что компания Apple представит тактильные кнопки с функцией обратной связи при релизе линейки смартфонов iPhone 15. Однако из-за проблем производства столь сложных компонентов компания решила подождать ещё год, чтобы усовершенствовать ...

В России разработали новую технологию создания деталей для квантовых технологий Российские учёные разработали технологию производства микросхем для квантовых технологий

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

Seagate и Sony будут сотрудничать для наращивания производства накопителей больших объемов Развитие технологий искусственного интеллекта вынуждает центры обработки данных обновлять своё оборудование всё быстрее

Представлены новейшие QLED-телевизоры Samsung: диагональ экрана до 98 дюймов и чип NQ4 AI Gen2 Samsung представляет новые линейки телевизоров Neo QLED, оснащенных передовой технологией Mini LED. Флагманская модель в линейке — 98-дюймовый Neo QLED, который оснащен мощным чипом NQ4 AI Gen2 с 20 нейронными сетями искусственного интеллекта для улучшения качеств...

Чипы из США не понадобятся: Китай внедряет новую программу для стимулирования внутренней полупроводниковой промышленности Китай представил новую стратегию по стимулированию внутренней полупроводниковой промышленности в виде схемы субсидирования, направленной на усиление вычислительных мощностей и снижение зависимости от зарубежных технологий на фоне ограничений, введенных США. Местное прав...

Xiaomi представила интеллектуальные аудиоочки Mijia Smart Audio Glasses Xiaomi представила свои инновационные Mijia Smart Audio Glasses - интеллектуальные аудиоочки, сочетающие передовые технологии и непревзойденный комфорт.

TECNO SPARK 20 Pro+ получил две награды French Design Awards 2024 Tecno Spark 20 Pro+ признан устройством с необыкновенным сочетанием инновационного дизайна, передовых технологий и экологичности

Intel получила от ASML первый инструмент для производства микросхем High-NA EUV Intel стала первым первопроходцем революционной новой технологии литографии

Realme GT5 Pro с передовым телеобъективным модулем уже можно заказать в Китае Новый смартфон Realme GT5 Pro стал доступен для предварительного заказа, он поступит в продажу 7 декабря в Китае. Ранее Realme анонсировала телеобъективный модуль, который будет представлен в новом смартфоне Realme GT5 Pro. Realme GT5 Pro получит перископический телеобъ...

Космическая эволюция Пекина: коммерческий космос и спутниковые «созвездия» как ключевые отрасли будущего 8 сентября центральный офис муниципального правительства Пекина выпустил уведомление о «Плане реализации стимулирования инновационного развития отраслей будущего». Это реализация национальных планов и приоритетов, опубликованных за последние два года. В &laq...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

ASUS представила два профессиональных монитора ProArt PA32KCX и PA32UCDM ASUS ProArt PA32KCX и PA32UCDM, разработанные для профессионального использования, предлагают новейшие технологии в сфере производства мониторов.

В России запустят производство оборудования 5G Одна из дочерних компаний МТС начнёт производство оборудования для связи нового поколения

Машины Sollers станут более «российскими» ООО «Соллерс Алабуга» получило 3,4 миллиарда рублей от Фонда развития промышленности (ФРП) для осуществления ключевого проекта по изготовлению штампованных кузовных деталей для автомобилей Sollers Atlant и Sollers Argo. В пресс-службе «Соллерса» ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

iPhone 17 получит передовой OLED-дисплей Сегодня инсайдеры сообщили весьма интересную новость о том, что iPhone 16 может оказаться настоящим разочарованием — по сравнению с серией iPhone 15 это очень минорное обновление с минимальным количеством нововведений, из-за чего производителю, вероятно, не удастся продемонс...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Xiaomi представляет самый технологический завод, способный произвести 10 миллионов смартфонов Более чем 96% испытательного оборудования для компонентов и упаковки, а также полностью все заводское программное обеспечение разработаны внутри компании.

Vivo X Fold3 Pro: Новый складной смартфон готовится к международному запуску Vivo готовит к запуску Vivo X Fold3 Pro — складной смартфон премиум-класса с передовыми технологиями дисплея и зарядки.

Intel может отстать от AMD в плане технологий и быстродействия процессоров уже в 2024 году AMD готовит новую архитектуру и новый 3-нм технологический процесс

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Asus представила флагманский Zenfone 11 Ultra с ИИ-функциями Asus наконец-то выпустила долгожданный Asus Zenfone 11 Ultra, который предлагает передовые технологии и инновации

Senao Networks представила свою передовую сетевую карту SX904 SmartNIC Senao Networks представила свою передовую сетевую карту SX904 SmartNIC, которая использует технологию PCIe Gen 4 и работает на базе процессора Intel Xeon D

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Новый передовой дрон TEKEVER ARX может управлять целым роем разведывательных беспилотников Компания TEKEVER, лидер европейского рынка БПЛА, представила передовой беспилотник ARX, способный развертывать и координировать целый рой небольших дронов. Благодаря встроенным ИИ/ML-технологиям и модульной конструкции, новый БПЛА значительно расширит возможности наблюдения ...

Функции Samsung Galaxy AI будут доступны и на старых флагманах На своей фирменной презентации, которая прошла две недели назад, компания Samsung объявила миру, что она умеет создавать не только качественные аппаратные и программные продукты, но и отлично справляется с реализацией технологий на базе искусственного интеллекта. Например, к...

Американские чиновники надеются, что оборудование для производства 7-нм чипов в Китае рано или поздно деградирует И новое китайские производители нигде купить не смогут.

LG создала первый в мире беспроводной прозрачный телевизор На выставке CES 2024 компания LG представит модель OLED T, названную первым в мире беспроводным прозрачным телевизором. Отказавшись от традиционных плоских экранов, новинка получила разрешение 4K и передовую технологию беспроводной передачи звука и видео от LG.

Планы подписки Ubisoft+ Multi-Access и PC Access переименовались в Ubisoft+ Premium Компания Ubisoft анонсировала изменения в своих подписочных планах и представила новые опции для игроков

США разрешат Samsung поставлять в Китай оборудование для производства чипов Министерство торговли США продлит разрешение на ввоз в Китай оборудования для производства чипов памяти компаниями Samsung Electronics и SK Hynix. Этим вендорам не понадобится получать какие-либо разрешительные документы.

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Сбер поможет АвтоВАЗу выпустить новый автомобиль, в котором могут появиться ИИ-технологии и сервисы банка Сбербанк обсуждает возможность интеграции своих цифровых сервисов в мультимедийную систему автомобилей Lada, о чем сообщила пресс-служба банка. Сбербанк и АвтоВАЗ уже обсудили расширение сотрудничества в сфере цифровизации производства. В рамках этого партнерства рассма...

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

Локализация идёт полным ходом: на «Москвиче» запускают окраску кузовов Московский автомобильный завод «Москвич» завершил подготовку цеха окраски кузовов к старту мелкоузловой сборки в 2024 году. Как сообщила пресс-служба, по результатам внутренних и внешних лабораторных тестов качество окрашенного на заводе кузова подтверждено....

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Oppo представила F25 Pro 5G с AMOLED дисплеем 120 Гц Этот смартфон от Oppo предлагает привлекательные характеристики, передовые технологии и стильный дизайн по доступной цене

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Новый инструмент Canon для производства пластин может изменить рынок оборудования Это даст возможность для удешевления производства современных микросхем

Tesla планирует построить новый завод по производству аккумуляторов для электромобилей Оборудование для предприятия будет закуплено у китайской компании Contemporary Amperex Technology Co. Ltd.

Intel выкупила все оборудование High-NA EUV у ASML Intel подтверждает свои амбиции в области полупроводников, выкупая все сканеры High-NA EUV у ASML для ускорения разработки и производства микросхем нового поколения.

Samsung готовит к релизу память UFS 5.0 в 2027 году Компания Samsung, без всякого сомнения, является одним из лидеров в области технологий памяти DRAM и UFS. И если верить информации от инсайдеров, знакомых с этой отраслью производства, компания разрабатывает следующее поколение быстрой и эффективной памяти — стандарт UFS 5.0...

Россия увеличит производство вооружений и боеприпасов в 2 раза Сообщается, что представители российского ВПК получат порядка 450 единиц станков, аппаратов и другого оборудования

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Япония движется в авангарде полупроводниковых технологий — Токио нацелен преодолеть рубеж в 1 нм Амбициозная "дорожная карта" Токио по производству передовых микросхем нацелена на преодоление рубежа в 1 нанометр

Продемонстрирован мини-ПК GEM12 Pro от AOOSTAR: Zen 4, встроенный сканер отпечатков и дисплей Мини-ПК нового поколения на мощном AMD Ryzen и RDNA 3 - передовые технологии доступны в компактном форм-факторе AOOSTAR GEM12 Pro.

Представлен Xiaomi Router 6500 Pro. В чём его уникальность? Как и ожидалось, компания Xiaomi представила новый беспроводной маршрутизатор, который получил название Xiaomi Router 6500 Pro. Это устройство, помимо основной функции раздачи интернета, также одновременно является шлюзом для устройств умного дома и поддерживает собстве...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

В России создали «умную фабрику растений» В пресс-службе Передовой инженерной школы Дальневосточного федерального университета (ПИШ ДВФУ) сообщили, что ученые вуза представили новую технологию «умной фабрики растений» для выращивания сельскохозяйственных культур без использования почвы. Растения выращиваются на спец...

Huawei создала собственный процессор на 7 нанометрах Сегодня иностранные журналисты заявили, что компании Huawei и SMIC удивили представителей из США, представив 7-нм процессор Kirin 9000S, который эксперты отрасли считают технологическим прорывом из-за существующих торговых санкций. При этом специалисты отмечают, что крупнейш...

Российские инженеры анонсировали серийное производство сразу двух новых транспортных дронов В Севастополе научный мир встречает новаторский прорыв: ученые СевГУ объявили о планах по созданию и последующему серийному производству двух уникальных транспортных беспилотных летательных аппаратов (БПЛА).

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

Планы Samsung и ASML на $760 млн: превращение Южной Кореи в «полупроводниковую сверхдержаву» Samsung и ASML объединяют свои усилия в рамках инвестиций в размере 760 миллионов долларов в строительство передового завода по производству чипов в Южной Корее. Стратегический шаг, о котором было объявлено во время визита президента Южной Кореи Юн Сук Ёля в Нидерланды, напр...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Alan Wake 2 полностью неиграбельна на устаревших и слабых видеокартах Новые технологии в сфере графики требуют обновления оборудования

AMD объявила о планах открыть больше исходного кода программ и улучшить документацию по оборудованию AMD представила систему отслеживания проблем, позволяющую собирать отзывы и уведомлять о регулярных обновлениях.

F2 innovations (часть 2) - Обзор продукции F2 innovations - российская компания, создатель новых технологий и инновационных решений в области оборудования для 3D печати. В этой статье мы познакомим вас с ассортиментом F2 innovations и расскажем о преимуществах 3D принтеров производителя.F2 innovations - российская ко...

Китай закупает передовые чипы Nvidia в обход санкций США Несмотря на расширенные ограничения США на экспорт передовых чипов Nvidia с технологиями ИИ в Китай, десяти китайским компаниям, связанным с правительством, удалось закупить эти чипы, встроенные в серверные продукты, у таких известных поставщиков, как Super Micro Comput...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

12,9-дюймовый iPad Air появится в начале 2024 года, а OLED-модели iPad Pro с чипами M3 выйдут во втором квартале. Прогноз Минг-Чи Куо В своем последнем прогнозе Минг-Чи Куо предсказывает, что Apple компенсирует отсутствие изменений в линейке iPad в этом году, обновив все существующие модели, начиная с обновленного 10,9-дюймового и нового 12,9-дюймового iPad Air с новыми чипами, запланированными к масс...

Что в мире аккумуляторов происходит: новые технологии и предложения разных компаний Бизнес и научные организации активно работают над созданием новых технологий производства батарей с целью снижения их стоимости, повышения надёжности, ёмкости и уменьшения воздействия на окружающую среду. Основное внимание уделяется разработке новых технологий создания катод...

Samsung создаёт память UFS 4.0 для работы с ИИ на смартфоне Западные информационные издания сообщают, что компания Samsung довольно усердно работает над новой версией памяти UFS 4.0, оптимизированной для операций в области искусственного интеллекта, хотя подробности пока что никто не может раскрыть по вполне понятным причинам. Корейс...

Китай готовит испытания двух новых ракет многоразового использования Китайская корпорация аэрокосмической науки и технологий (CASC) планирует провести испытательные полеты двух новых ракет многоразового использования большого диаметра в ближайшие пару лет. Эти ракеты будут конкурировать с аналогичными проектами компаний SpaceX, Blue Origin и ...

LG Display представила панель MLA-OLED нового поколения с рекордной яркостью LG Display, один из двух крупнейших в мире поставщиков дисплеев, на выставке CES 2024 в январе анонсировал на закрытой встрече планы по выпуску новых панелей OLED, а детали стали известны только сейчас. По данным IT Home, LG Display и Samsung Display представили панели ...

Keychron представила беспроводную мышь для правшей M7 с временем автономной работы 70 часов Keychron M7 имеет все передовые технологии и множество вариантов подключения, как проводных, так и беспроводных.

Поезд в Китае на магнитной подушке установил рекорд скорости в 1000 км/ч Поезд на магнитной подушке в Китае, получившие название T-Flight, разогнался до 1000 км/ч в 2-километровом испытательном туннеле в Датуне, провинция Шаньси. Китай уже рассматривает возможность применения этой технологии для установления транспортного сообщения между крупными...

Япония и США займутся совместной разработкой передового ИИ Премьер-министр Японии Фумио Кисида и президент США Джо Байден на саммите в Вашингтоне, который состоится 10 апреля, объявят о совместной работе над передовыми технологиями в области искусственного интеллекта (ИИ) и полупроводников.

Hyundai и Kia представили шины с выдвижными цепями противоскольжения Hyundai Motor Company и Kia Corporation представили новую технологию шин с интегрированными цепями противоскольжения, которая упрощает безопасность вождения в зимних условиях. В технологии шин со встроенной цепью противоскольжения используются модули из сплава с памятью...

Huawei построит новый завод по производству смартфонов и другого оборудования во Франции Несмотря на американские санкции, многие западные страны хотят расширить сотрудничество с Китаем

Компания «Лазерные системы» займется 3D-печатью деталей двигателей и газовых турбин Санкт-Петербургский разработчик и производитель промышленных 3D-принтеров «Лазерные системы» откроет центр аддитивных технологий на базе собственного производства на площадке «Нойдорф» особой экономической зоны в Стрельне. Предприятие планирует наладить аддитивное производст...

КМЗ запускает производство 3D-принтеров для литейной отрасли Кингисеппский машиностроительный завод планирует собрать не менее двадцати 3D-принтеров для изготовления литейной оснастки к 2027 году. Производство начнется со следующего года, оборудование полагается на технологию струйно-порошковой 3D-печати песчаными смесями (Binder Jett...

Нидерланды начали мешать ASML поставлять оборудование в Китай Нидерландская компания ASML, крупнейший производитель литографического оборудования, необходимого в том числе для производства интегральных схем, заявила о приостановке экспорта части оборудования в Китай. Это связано с санкциями США.

Российские учёные завершат испытания новой технологии добычи нефти в мае Российские учёные завершат тестирование оборудования для гидроразрыва на нефтяных месторождениях в мае

Россияне получат "невозможный" интернет на скроростях до 10 Гбит/с по технологии XGS-PON Правда такой доступ будет "не только лишь у всех" из-за дефицита оборудования

Представлен маршрутизатор D-Link Aquila Pro AI M30 с уникальным дизайном Бренд сетевого оборудования D-Link представил новый маршрутизатор Aquila Pro AI M30 с уникальным дизайном.

Новые технологии Новые технологии - это то, без чего невозможно представить современный мир. Они окружают нас повсюду: в быту, на работе, в транспорте и даже в медицине. Благодаря новым технологиям мы можем быстро и удобно решать многие задачи, которые раньше казались невозможными.

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Honor Magic6 RSR Porsche Design: эксклюзивный флагман с топовыми характеристиками. Honor анонсирует выпуск нового флагманского смартфона Honor Magic6 RSR Porsche Design, с официальной премьерой, запланированной на 18 марта. Устройство обещает сочетать в себе передовые технологии и эксклюзивный дизайн.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

«Росатом» освоил технологию изготовления нового оборудования для комплекса по переработке ТРО Руководитель проекта "КП РАО» АО «СвердНИИхиммаш" Сергей Коржавин: "СвердНИИхиммаш» разработал аналог с модернизацией, учитывая опыт эксплуатации прессового оборудования предыдущих модификаций. Данные решения позволили нам выпустить новый продукт на рынок России и не зависет...

Nvidia представила геймплей Star Wars Outlaws с DLSS 3 и трассировкой лучей Игра, разрабатываемая студией Massive Entertainment, обещает стать одним из самых ярких видеоигр этого года, благодаря внедрению передовых технологий Nvidia

Xiaomi представила робот-пылесос S10 мощностью всасывания 4000 Па и лазерной навигацией Xiaomi в очередной раз порадовала своих фанатов, выпустив робот-пылесос S10 со всеми передовыми технологиями по приемлемой цене.

Производство 2-нанометровых чипов обойдётся на 50% дороже 3-нанометровой технологии Возможность производства нового поколения пластин с поддержкой 2 нм может стоить примерно 28 миллиардов долларов

Центр аддитивных технологий Ростеха получил лицензию на обучение аддитивному производству Центр аддитивных технологий (ЦАТ) Ростеха стал первым предприятием в контуре государственной корпорации «Ростех», получившим лицензию на обучение по программе «Аддитивные технологии». Программы повышения квалификации затронут все аспекты производства методом 3D-печати — от к...

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

Представлен жесткий диск Seagate Exos объемом 30 ТБ с технологией Mozaic 3+ Seagate, американская компания по хранению данных, представила новый жесткий диск Exos объемом 30 ТБ, использующий технологию Mozaic 3+.

Nokia выпустила стильный Wi-Fi 7 роутер Компания Nokia представила новый продукт в своей линейке сетевого оборудования — роутер Beacon 24, который поддерживает новейший стандарт Wi-Fi 7.

Китайская iSpace запустила и приземлила испытательную ракету Китайская коммерческая ракетная компания успешно запустила и благополучно приземлила испытательный образец на пути к разработке многоразовой ракеты-носителя.

Российские HSM модули: Новый этап в защите данных платежных систем В начале 2024 года российские банки столкнулись с важным решением: перейти на оборудование отечественного производства для защиты транзакций по пластиковым картам. Этот шаг в рамках программы импортозамещения не только повышает безопасность финансовых операций, но и открывае...

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

США и Южная Корея представили беспилотный надводный корабль Tenebris Южнокорейская судостроительная компания HD Hyundai Heavy Industries (HD HHI) и американская IT-корпорация Palantir Technologies представили свою новую совместную разработку – концепцию беспилотного надводного корабля (USV) следующего поколения под названием Tenebris. Новинка...

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Apple откажется от технологии Touch ID С выходом iPhone X в 2017 году компания Apple отошла от технологии аутентификации при помощи сканера отпечатков пальцев Touch ID. Смартфон получил совершенно новую систему распознавания лица под названием Face ID и с тех пор Apple не возвращалась к технологии Touch ID, несмо...

Китай нашел свободных от санкций поставщиков оборудования для производства чипов из Южной Кореи. Перспективы отрасли Мы не раз и не два писали о влиянии санкций США на китайские компании из отрасли производства и разработки электроники. Сначала казалось, что санкции действуют, и очень хорошо — у Китая начались сложности почти сразу после введения различных мер со стороны США. Но спустя не...

В РФ запустят производство оборудования 5G Производством оборудования для внедрения мобильных сетей пятого поколения в России займется дочерняя компания МТС. 

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Аналог Toyota Highlander от Huawei с запасом хода 1300 км бьёт рекорды: уже 80 000 человек заказали Aito M7 Новая версия кроссовера Aito M7, разработанная Huawei и Seres , получила более 80 000 заказов с момента начала приема заказов 12 сентября. Ранее производитель сообщил, что на обновленную модель поступило более 40 000 заказов. Более 80% заказов выбрали 5-местную версию,...

«Ростех» рассказал о создании нового противодронового комплекса Инженеры включенного в структуру «Ростеха» НПО «Квант» завершили разработку передового комплекса «Гроза.04.К», ключевой задачей которого является противодействие дронам формата FPV. Массовое производство системы уже запущено.

Azercosmos расширяет космическую инфраструктуру и приобретает два разведывательных спутника у Израиля Сделка, оценённая в $120 000 000, позволит Азербайджану получить разведывательные спутники OptSat500 с высоким разрешением и производительностью съёмки. Israel Aerospace Industries (IAI) и Azercosmos, космическое агентство Азербайджана, объявили о соглашении по продаже ...

Производство легендарной «Буханки» модернизировали Ульяновский автозавод модернизировал производство СГР или «Буханка», о чем сообщает инсайдерский Telegram-канал «Автопоток». На производстве автомобилей семейства «Буханка»/«Головастик» внедрили роботизированную завальцовк...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Microsoft представит «локальный ИИ на ПК» и другие технологии в мае Стало известно, что уже в мае Microsoft представит новые ИИ-функции, расширяющие возможности виртуального Windows-помощника Copilot. Известно, что будут представлены улучшенные функции копирования и вставки на основе ИИ, а также «умная» технология AI Explorer.

Секреты Huawei и Kirin 9000s – как они достигли 7нм – что ... Новый флагманский чипсет Huawei Kirin 9000s, используемый в Mate 60 Pro, вызвал много вопросов, ведь компания не имела доступа к передовым технологиям из-за санкций США, однако они создали собственный 5G чипсет.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Полиция Дубая демонстрирует передовое оборудование на Dubai Airshow 2023 Полиция Дубая внедряет умные технологии, сводя преступления к нулю

Китай обнародовал план массового производства человекоподобных роботов Согласно плану, опубликованному Министерством промышленности и информационных технологий (MIIT) Китая, эта страна готовится реализовать амбициозный проект массового производства человекообразных роботов в течение двух лет.

Предприятие «Росэлектроники» увеличивает производственные мощности за счет нового отечественного оборудования Концерн «Созвездие» холдинга «Росэлектроника» завершил ввод в эксплуатацию на фабрике радиоэлектронной аппаратуры новых отечественных многофункциональных обрабатывающих центров. Модернизация производства позволит на 50% нарастить мощность по токарным работам и на 40% по фрез...

Бренд DIGMA отметил 20-летний юбилей и рассказал о планах на будущее Сегодня, 4 апреля, состоялось официальное мероприятие, посвящённое 20-летнему юбилею бренда DIGMA, в рамках которого представители дивизиона Private Labels. Merlion подвели итоги достаточно масштабной трансформации бренда за последние годы. Например, участники мероприятия ра...

Производством раздаточных коробок для полноприводных грузовиков КамАЗ теперь занялся Тутаевский моторный завод Российский производитель грузовых автомобилей КамАЗ переносит производство раздаточных коробок, о чём сообщает инсайдерский телеграм-канал «Автопоток». КамАЗ переносит производство раздаточных коробок для полноприводных грузовиков. Теперь их выпуском будет з...

Хороший суперфлагман Xiaomi 14 Ultra Xiaomi 14 получил роскошный OLED-дисплей, передовой чипсет и новый набор камер.

Samsung представит смартфон с собственным генеративным ИИ На днях Samsung Electronics огласила результаты третьего квартала 2023 финансового года. А теперь стало известно, что на конференции, посвящённой квартальному отчёту, компания раскрыла свои планы относительно использования генеративного искусственного интеллекта в смарт...

Corsair представляет новый SSD MP700 PRO SE Gen5 с воздушным и жидкостным охлаждением Corsair анонсировала новый SSD диск MP700 PRO SE Gen5 с передовыми технологиями охлаждения и высокой скоростью передачи данных

ASML поставила Intel литографическое оборудование на $300 млн ASML, голландский гигант по производству полупроводникового оборудования, объявила о поставке своих новейших систем экстремальной ультрафиолетовой литографии «High NA» другому технологическому титану Intel.

Холдинг Ростеха начал поставку новое оборудование для суперкомпьютеров Холдинг «Росэлектроника» госкорпорации Ростех начал поставки обновленного оборудования для создания суперкомпьютеров. Новая модификация стала более компактной и способной объединять серверы в мощные вычислительные кластеры для сложных расчетов. Первыми заказчиками нового обо...

Достижения Китая в разработке метаноловых двигателей: ракеты Long March 9 и Long March 5 Китай активно развивает программу создания двигателей на основе полного цикла сгорания метана для своего многоразового грузового ракетоносителя Long March 9. Разработка таких двигателей, обеспечивающих тягу в 200 тонн, включает  полный цикл проектирования и создани...

В Москве появился новый завод медицинского оборудования Заместитель мэра Москвы по вопросам экономической политики и имущественно-земельных отношений Владимир Ефимов сообщил, что компания «Элта», которая является резидентом особой экономической зоны (ОЭЗ) «Технополис Москва», завершила строительство нового завода на производство ...

Электромобиль Hyundai нового поколения: представлен 641-сильный Ioniq 5 N Hyundai представил Ioniq 5 N - первый электромобиль марки под брендом N. Обладая мощностью 641 л.с. и батареей емкостью 84 кВт/ч, он обещает такие передовые функции, как оптимизация заноса, распределение крутящего момента и настройки для трека.

Резидент «Сколково» выпустил на рынок новое решение деперсонализации базы данных — DataSan Разработанное с применением передовых технологий хэширования и маппинга, DataSan предлагает высокий уровень анонимизации данных, сохраняя при этом их функциональную ценность.

Союз МС-25 доставил на МКС революционные биопринтеры и научное оборудование Российский космический корабль "Союз МС-25" успешно доставил на Международную космическую станцию (МКС) не только новый международный экипаж, но и передовое оборудование для проведения научных экспериментов в орбитальных условиях.

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Технологии NVIDIA RTX теперь используются в 500 играх и приложениях На этом компания не собирается останавливаться, а только увеличивает темпы внедрения своих передовых технологий

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Это автомобиль с ДВС от BMW проедет 500 км по земле и пролетит 1000 км по воздуху, а подготовка к полёту занимает 3 минуты. AirCar готовится к производству и началу продаж Как сообщает BBC, компания Hebei Jianxin Flying Cars недавно подписала соглашение со словацкой Klein Vision о получении у последней лицензии на производство и распространение летающих автомобилей AirCar. Окончательную сумму сделки стороны не раскрыли. AirCar — пе...

Google представила новый флагман Pixel 8 Pro – мощный чип, ... Google анонсировала новое поколение смартфонов Pixel, в частности топовую модель Pixel 8 Pro. Это самый передовой на сегодняшний день смартфон компании.

Импортозамещение high-availability решений: обзор китайского балансировщика TongTech К концу 2023 года большинство балансировщиков в российских ЦОДах по-прежнему западного производства. В прошлом году западные вендоры ушли из России, и оставаться на их оборудовании стало рискованно. Но мигрировать на доступные решения — тоже риск: они на рынке недавно, не хо...

Эксперт: передовые технологии — главный «козырь» войск России По мнению полковника в отставке и военного эксперта Анатолия Матвийчука, самое мощное оружие российских вооруженных сил — это комплекс передовых технологий. В интервью «Ленте.ру» он подчеркнул важность передовых военных технологий России, включая воздушно-космические силы и ...

Китайский гигант по производству аккумуляторов CATL представил революционную литий-железо-фосфатную батарею с запасом хода более 1000 км Китайский гигант по производству аккумуляторов Contemporary Amperex Technology Co (CATL) произвёл фурор на 28 Пекинском автосалоне, представив новую литий-железо-фосфатную батарею (LFP) под названием Shenxing Plus. Эта разработка обещает обеспечить запас хода более 1000...

Компас смартфона позволяет на удивление точно измерять уровень сахара в крови Специалисты Национального института технологий и стандартов США нашли новое применение магнитометру, который используется в мобильных устройствах в качестве компаса. Теперь с его помощью можно практически «на коленке» оценить уровень сахара в крови и провести другие исследов...

Представлены OLED-мониторы Samsung Odyssey нового поколения Компания Samsung Electronics представила новое поколение OLED-мониторов Odyssey, которые можно будет увидеть вживую на выставке CES 2024 в Лас-Вегасе на следующей неделе. Линейка будет включать модели: Odyssey OLED G9 (модель G95SD); Odyssey OLED G8 (модель G80SD)...

В России создали защиту от водородного разрушения оборудования В пресс-службе Национального исследовательского университета «МЭИ» сообщили, что ученые вуза представили новые технологии для защиты оборудования от водородного разрушения. Они разработали барьерные покрытия на основе хрома, которые снижают проницаемость поверхности металлов...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

В США представлен совершенно новый Kia Sorento 2024 К старту автосалона в Лос-Анджелесе компания Kia представила Kia Sorento 2024 в спецификации для североамериканского рынка. Фактически, впервые Sorento вышел на внешний рынок, так как до сих пор автомобиль был представлен только в Южной Корее. В плане внешности Sorento...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

SpaceX «завела» самую большую в мире ракету Starship перед третьим испытательным полетом, видео Космическая компания SpaceX успешно провела статическое огневое испытание гигантской ракеты Starship, продолжая вести подготовку к третьему испытательному запуску. Об этом команда сообщила в соцсетях и поделилась видеороликом, на котором был запечатлён процесс испытаний...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Российская компания ICL открыла в Татарстане завод по монтажу материнских плат Группа компаний ICL открыла завод по поверхностному монтажу электронных печатных плат и производству вычислительной техники на территории особой экономической зоны «Иннополис» в Лаишевском районе Татарстана. Сейчас мощности производства составляют 300 тыс. м...

Китай ввел в эксплуатацию первую в мире АЭС 4-го поколения Китай успешно ввел в эксплуатацию первую в мире атомную электростанцию (АЭС) 4-го поколения, что было подтверждено Государственным энергетическим управлением КНР. Новая энергетическая установка, известная как «Шидаовань», оснащена высокотемпературным га...

Military Watch: план производства F-35 в 2023 году будет выполнен на 62% Пентагон получит лишь 17 истребителей F-35 в 4-м квартале этого года. Вдобавок к уже поставленным 80-ти.

SK hynix представила план по использованию переработанных материалов Компания SK hynix представила свой план по активному использованию переработанных и возобновляемых материалов в производстве

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Раньше строили на базе КамАЗа, а теперь на базе китайского FAW. Представлен новый автокран с грузоподъемностью 40 тонн Камышинский крановый завод (ККЗ) объявил о запуске производства новых автокранов с грузоподъемностью 40 тонн, используя в качестве базы китайский грузовик FAW СА3310 (J6 8X4). До этого завод строил подобные краны исключительно на базе российских автомобилей КамАЗ. Новые...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

Google представила Lumiere – передовой ИИ для создания видео Google представила Lumiere, передовую модель для создания видео с помощью искусственного интеллекта. Lumiere отличается своей способностью создавать реалистичные или сюрреалистичные видеоклипы продолжительностью до пяти секунд каждый.

Hisense, официальный партнер UEFA EURO 2024, представил кампанию BEYOND GLORY   Являясь официальным партнером UEFA EURO 2024, компания Hisense представила свою кампанию BEYOND GLORY с новой рекламы, демонстрирующей новейшие инновационные продукты, которые приносят людям лучшую жизнь. В рекламном ролике представлены ультрасовременные ULED-телевизо...

На MWC2024 представлено решение Intelligent Factory от Huawei     В ходе Международной выставки мобильных технологий MWC 2024, на заседании, посвященном решениям Huawei для производства и крупных предприятий под девизом «Погружение в отраслевую специфику для создания более обеспеченного, экологичного и умного будущего», компания Hua...

В России создали технологию для улучшения бурильных труб В пресс-службе Саратовского государственного технического университета имени Гагарина Ю. А. (СГТУ) сообщили, что ученые вуза разработали методику моделирования цилиндрических панелей из пористого материала. Новая технология значительно улучшит качество материалов для произво...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Воронежская область будет развивать AI-технологии с помощью Сбера В регионе планируется стимулировать научно-исследовательскую и инновационную деятельность для создания передовых технологий и сервисов.

Производство индивидуальных эндопротезов СамГМУ прошло проверку Росздравнадзора Научно-исследовательский институт бионики и персонифицированной медицины Самарского государственного медицинского университета, занимающийся разработкой и производством индивидуальных и серийных эндопротезов с использованием технологий 3D-печати, прошел проверку Федеральной ...

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

Ким Чен Ын проинспектировал работу завода по производству тактических ракетных систем Верховный лидер КНДР Ким Чен Ын посетил оборонное предприятие, специализирующееся на производстве тактических ракетных систем. Он заявил об успешном выполнении планов производства на первом полугодии и наметил увеличенные цели на конец года.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

В России создадут БПЛА, который можно печатать прямо на передовой Конструкторы новосибирского КБ «Спектр» приступили к разработке нового ударного армейского беспилотника, который относится к самолетному типу, а изготавливаться он будет при помощи 3D-печати прямо в районах проведения СВО. Причем для производства вполне подойдет вторсырье.

Новые iPhone будут лучше ловить сигнал: Apple подписала соглашение с UMC на поставку новых чипов Компания Apple подписала соглашение с новым производителем чипов, о чем пишет gizmochina со ссылкой на источники из цепочки поставок. Согласно свежим данным, UMC заключила контракт с Apple на производство ключевых чипов для будущих. Эти чипы будут интегрированы в констр...

Reuters: Китай наращивает темпы импортозамещения в сфере производства HBM Китай догоняет лидеров в производстве памяти HBM, активно закупая оборудование для локализации производства высокотехнологичной памяти и снижая зависимость от импорта ключевых компонентов.

На этот раз обойдётся без очередного подорожания чипов? Nvidia и TSMC говорят, что вчерашнее землетрясение на Тайване не повлияло на производство Вчера на Тайване произошло сильнейшее для острова за 25 лет землетрясение с магнитудой 7,2-7,4 балла. Кроме прочего, остановила свою работу одна из фабрик TSMC, что, как предполагалось, могло бы сильно повлиять на цену различных чипов. Однако, похоже, в этот раз обойдёт...

Kia представила концепты новых электрокаров — внедорожника EV3 и седана EV4 Оба новых творения, вероятно, будут построены на передовой архитектуре E-GMP

Microsoft готовится представить ИИ-функцию AI Explorer в новых компьютерах Устройства будут оснащены передовыми процессорами Intel Core Ultra или Qualcomm Snapdragon X Elite и OLED-экраном.

Motorola представила концепт гнущегося смартфона Прототип показали журналистам, но о планах на производство и продажу компания пока не заявляла.

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

В России наращивают производство игровых и рабочих компьютеров. «Аквариус» и Nemifist подписали соглашение о партнерстве Российские компании «Аквариус», разработчик компьютерного оборудования и IT-решений, и Nemifist, производитель игровых компьютеров и персональных систем, подписали соглашение о технологическом партнерстве. Это сотрудничество позволит значительно расширить пр...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Законодателям США не понравилось, что Huawei выпустила новейший ноутбук MateBook X Pro на основе процессоров Intel Компания Huawei на днях представила новейших ноутбук MateBook X Pro на основе процессоров Intel Core Ultra. И это не понравилось ряду американских чиновников.  фото: Huawei Как сообщается, законодатели-республиканцы в пятницу раскритиковали администрацию президент...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Представлен Porsche Cayenne GTS 2024. Объявлены цены Представлен Porsche Cayenne GTS 2024 модельного года, который уже доступен на рынке Великобритании по цене от 106 100 фунтов стерлингов. Версия Cayenne GTS Coupe стоит 107 700 фунтов стерлингов. Новинки получили спортивный пакет и матричные светодиодные фары высокого ра...

Игровой бренд Philips, EVNIA, представил 49-дюймовый изогнутый игровой монитор QD OLED Монитор использует передовую технологию QD OLED, которая сочетает OLED и квантовые точки для обеспечения высокого качества изображения с глубокими черными и яркими цветами

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Крупные производители микрочипов приостановили закупки оборудования у ASML Holding NV Продажи компании, специализирующейся на выпуске передового оборудования, резко упали в первом квартале

Ничего личного, просто бизнес. Китай наращивает закупки литографов, а ASML гарантирует поставку иммерсионных DUV и более передовых систем несмотря на санкции США Ассоциация полупроводниковой промышленности (Semiconductor Industry Association, SIA) опубликовала очередной отчет о мировых продажах оборудования для печати полупроводниковых систем в августе текущего года. Как отмечается, продажи достигли отметки в 44 миллиарда д...

Ученые Общества Фраунгофера применяют 3D-печать в производстве глазных протезов Аддитивные технологии помогают подгонять искусственные глаза под индивидуальную анатомию и максимально точно воспроизводить внешний вид здоровых глаз. Доступ к новым протезам уже получили более двухсот пациентов.

Предложен новый тип аккумуляторов — натрий-ионный высокой емкости. Что это за технология и какие у нее преимущества? Источник: theengineer Аккумуляторы за последние пару десятков лет фактически не изменились. Есть определенные новшества, но они, скорее, косметические. А технологии движутся вперед и требуют новых возможностей от батарей. Периодически публикуются новые работы в этом направ...

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

Logitech анонсировала беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED с технологией KEYCONTROL Компания Logitech представила новую беспроводную игровую клавиатуру PRO X 60 LIGHTSPEED, разработанную в сотрудничестве с профессиональными киберспортсменами. Уникальная технология KEYCONTROL позволяет настраивать тактильный отклик клавиш, а сверхбыстрая беспроводная связь о...

Представлен новый Volkswagen Golf — куча свежих технологий всего за $21 000 Совместное предприятие FAW-Volkswagen представило новую модель Volkswagen Golf, которая доступна в комплектациях Enjoy Edition и Expert Edition. Автомобили предлагаются в Китае по цене 21000 и 21300 долларов соответственно. Volkswagen Golf Enjoy Edition и Expert E...

Московская техническая школа запускает новый курс по аддитивным технологиям Московская техническая школа совместно с Национальным исследовательским технологическим университетом «МИСИС» открыла дистанционный курс «Стандартизация передовых производственных технологий» по направлению «Аддитивные технологии».

Apple выпустит первый iPhone Slim, все модели iPhone 17 получат новую камеру, а в Pro-версии будет 12 ГБ ОЗУ, согласно новым инсайдерским сведениям Apple готовит масштабный редизайн своих смартфонов в следующем году. По словам известного аналитика Джеффа Пу, линейка iPhone 17 получит обновленный дизайн, улучшенные камеры и ряд других значительных усовершенствований. Одним из самых интригующих нововведений должна ст...

Предполагаемый Xiaomi Civi 4 получает сертификацию 3C с быстрой зарядкой 67 Вт Предполагаемый новый флагман Xiaomi Civi 4, прошел сертификацию от Министерства промышленности и информационных технологий Китая (MIIT) и получил одобрение на зарядку мощностью 67 Вт от платформы 3C. Это открытие подогревает ожидания от предстоящего устройства, которое обеща...

В 2024 году камера Zeiss выйдет на новый уровень? Инсайдер анонсировал «камерофон-Танос» от Vivo Инсайдер Digital Chat Station анонсировал камерофон Vivo, который за передовые возможности камеры называл Таносом. «Возможности изображения [Vivo] X100 уже превзошли [возможности] всех флагманов этого [2023] года, а в следующем году появится Танос с более высоким...

Испытательный полёт TV–D1 для программы Gaganyaan прошёл успешно Целью этого испытательного полета было оценить работоспособность модуля экипажа, системы спасения экипажа, а также системы торможения на больших высотах и ее восстановления

АвтоВАЗ разрабатывает Lada размером с Toyota Camry, и она получит беспилотные технологии Глава АвтоВАЗа Максим Соколов сообщил о том, что завод работает над внедрением беспилотных технологий в автомобили Lada, причем это буду модели покрупнее Lada Vesta — классов C (длиной около 4,5 метра как Toyota Corolla) и даже D (длиной около 4,8 м, как Toyota Ca...

В новом ядерном реакторе используется необычный тип технологии охлаждения Американский стартап Kairos Power получил разрешение на строительство ядерного реактора нового типа. В этом проекте, известном как Hermes, используется технология охлаждения с использованием соли

Карманный ПЦР: новые тест-полоски превзошли лабораторные методы Представьте себе, что вы можете получить результат генного теста лабораторного качества за несколько минут, в домашних условиях и всего за несколько долларов. Такое будущее стало ближе, чем когда-либо, благодаря новой технологии «тест-полосок», разработанной инженерами из UN...

Глобальная цель АвтоВАЗа на 2023 год достигнута не будет – завод не сможет выпустить 400 тыс. автомобилей АвтоВАЗ поставил на текущий год амбициозную цель произвести 401 тыс. автомобилей. Однако достигнуть этого у компании не получится: план производства будет скорректирован до 370 тыс. авто. Об этом, как пишет инсайдерский паблик Avtograd News, сообщил вице-президент по пр...

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

NVIDIA запускает Quantum Cloud – облачный симулятор квантового компьютера На ежегодной конференции GTC NVIDIA Corp представила платформу для симуляции квантовых вычислений, ориентированную на исследователей и разработчиков – NVIDIA Quantum Cloud. По заявлению компании, NVIDIA Quantum Cloud предоставляет передовые технологии квантовых вычислений…

Началось серийное производство газовых «Ситимаксов» Павловский автобусный завод (ПАЗ), входящий в «Группу ГАЗ», приступил к серийному производству автобусов ПАЗ-422320-14 «Citymax-9», работающих на компримированном природном газе (КПГ).  Прототип газового «Ситимакса» был впервые ...

На шаг ближе к Марсу: SpaceX нацелилась на второй испытательный полёт Starship. Подготовка в разгаре. Впечатляющие фото установленного на стартовой площадке 122-метрового корабля На базе Starbase на побережье Мексиканского залива завершились работы по подготовке ко второму испытательному полёту корабля Starship, который SpaceX надеется запустить в ближайшее время. «Starship полностью собран, пока команда готовится к репетиции запуска. Мы п...

Ивановская мануфактура внедрит ИИ для создания новых материалов В пресс-службе правительства Ивановской области сообщили, что кампус "Большая Ивановская мануфактура" внедряет передовые технологии искусственного интеллекта (ИИ) для разработки новых веществ и материалов, пишет РИА Новости.

В Орле запускается производство спутникового оборудования Компания Rubetek получила контракт от оператора РТКомм, на первом этапе будут производить абонентские модемы и центральную земную станцию спутниковой связи.

Аналог Toyota Highlander от Huawei с запасом хода 1300 км произвёл настоящий фурор: оформлено 100 000 оплаченных заказов на Aito M7 Компания Huawei объявила, что она получила 100 000 твердых заказов на свой внедорожник Aito M7, через два с половиной месяца после начала приема заказов. Aito — это новый бренд электромобилей Huawei, которые производятся силами Seres. Seres — это бренд госу...

Новая технология охлаждения улучшает производительность MacBook Air Компания Frore Systems из Сан-Хосе представила инновационную технологию охлаждения AirJet Mini, которая значительно увеличивает производительность MacBook Air с чипом M2

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Intel и Суперкомпьютерный центр Огайо представили кластер Cardinal для работы с ИИ Компания Intel, Dell Technologies, Nvidia и Суперкомпьютерный центр Огайо (OSC) представили новый передовой кластер высокопроизводительных вычислений (HPC) под названием Cardinal

TSMC представила свой план выпуска продукции для следующего поколения полупроводников Компания планирует начать производство 1-нм транзисторов к 2030 году

Почему Google Pixel 9 Pro не сможет удивить производительностью В мире технологий намечается новый поворот: Pixel 9 Pro, ожидаемый флагман Google, может не оправдать ожиданий в плане производительности.

MAINGEAR представила на выставке CES 2024 новую технологию управления кабелями MG-RC MAINGEAR переосмысливает эстетику и производительность игровых ПК с помощью технологии управления кабелями MG-RC

Infinix представила новую технологию охлаждения CoolMax для игровых смартфонов Технология способна охладить чипсет практически на 10 градусов по Цельсию.

TSMC якобы не торопится с внедрением оборудования для High-NA EUV Экстремальное ультрафиолетовое излучение (EUV) с высокой числовой апертурой (High-NA). Компания Intel Foundry Services (IFS) станет одним из первых производителей полупроводников, которые начнут работать на новейшем оборудовании ASML

Передовая технология апскейла Apple MetalFX оказалась не собственным детищем Apple Оказывается, передовая технология повышения разрешения MetalFX не является собственным детищем Apple, а работает на базе технологии FidelityFX Super Resolution (FSR) от AMD. Это открытие, скрытое в юридическом и нормативном отчете Apple, говорит о том, что MetalFX построена ...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

Генерал-лейтенант ВС РФ: САУ "Мальва" показывает прекрасные результаты на передовой СВО Колесная версия с пушкой 2А64 восхитительно маневренна и оснащена современным прицельным оборудованием

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

«Мы создаём будущее», — Panasonic представил мобильную гостиную В преддверии Japan Mobility Show 2023 компания Panasonic раскрыли свои планы на это мероприятие. Компания представят новую систему хранения вещей, технологии безопасности для велосипедистов и беспилотный автомобиль, который показывает, как может выглядеть будущее автоно...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

В Университете Мэна создали самый большой строительный принтер в мире Новый полимерный 3D-принтер, разработанный учеными Университета штата Мэн, побил мировой рекорд, став самым большим в мире. Он получил название «Фабрика будущего 1.0» и имеет невероятно широкие возможности применения. На мероприятии, посвященном его запуску, присутствовали н...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Представлен кондиционер будущего, которому не нужны компрессор и хладагент В мире кондиционирования воздуха наступает новая эра. Европейские ученые из Люксембургского института науки и технологий представили прототип кондиционера, работающего на основе нового «электрокалорического» материала.

Тихоокеанский флот России получит новейшую подлодку «Якутск» проекта 636 Тихоокеанский флот России (ТОФ) должен получить новую современную подводную лодку «Якутск» проекта 636, пишут «Известия». План принятия этой подводной лодки на вооружение утвержден Министерством обороны РФ, сообщают источники издания в военном ведомстве.

"Росатом" разворачивает новую эру в аддитивных технологиях с запуском промышленных 3D-принтеров "Росатом" запустил в серийное производство девять среднегабаритных 3D-принтеров для промышленного использования, использующих технологию SLM. Улучшенная модель RusMelt 310M, внесенная в реестр Минпромторга как отечественный товар, обещает покрыть значительную долю потребност...

Раньше срока: Xiaomi 15 Pro на базе Snapdragon 8 Gen 4, с новым датчиком и спутниковой связью представят уже в сентябре Сообщается, что серия смартфонов Xiaomi 15 будет анонсирована вместе с однокристальной системой Snapdragon 8 Gen 4, а продажи начнутся уже в октябре. По данным известного инсайдера Digital Chat Station, массовое производство мобильных телефонов Xiaomi 15 ожидается в сен...

iPhone 16 Pro получит новую титановую рамку Сетевые источники поделились подробностями о новом поколении флагманских смартфонов Apple, которые будут представлены грядущей осенью. Как известно, в iPhone 15 Pro купертиновцы впервые использовали титановую рамку вместо нержавеющей стали, что позволило улучшить прочность с...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

IBM представила новые версии технологии FlashCore Module и Storage Defender Технология FlashCore Module использует искусственный интеллект для мониторинга и обнаружения аномалий, связанных с кибератаками, в реальном времени

Mini Clubman сняли с производства Mini Clubman был снят с производства спустя 17 лет, поскольку Mini уступил место электрическому Aceman, о чем пишет Autocar. Впервые Clubman поступил в продажу в 2007 году как версия универсала Mini с новой вертикально разделенной задней дверью. Теперь он уступил место ...

Ростех усовершенствовал производство оптического волокна Эксперты холдинга «Швабе» Госкорпорации Ростех модернизировали оборудование для производства оптического волокна. Это позволило создать образцы с усовершенствованными характеристиками, которые обеспечивают высокое качество передачи сигнала.

Стали известны планы по моделям Jeep на ближайшие годы Автоконцерн Stellantis и профсоюз UAW достигли предварительного соглашения о новом контракте для рабочих. В ходе этих переговоров стали известны производственные планы для нескольких моделей, включая Jeep и Dodge, как сообщает Motor1. Большинство популярных внедорожнико...

От успешных миссий роботов к глобальным целям: Индия планирует отправить своих астронавтов на Луну к 2040 году Индия планирует отправить астронавтов на Луну к 2040 году и построить космическую станцию в середине следующего десятилетия. Это заявление было сделано после совещания под председательством премьер-министра Индии Нарендры Моди для оценки прогресса миссии Gaganyaan по от...

Россия локализует производство оборудования для промышленного майнинга В России задумались о производстве оборудования для майнинга

Новинка от Samsung: первые в мире прозрачные дисплеи MicroLED Samsung создал первые в мире прозрачные дисплеи MicroLED. Хотя информация о стоимости и доступности этой передовой технологии остается тайной, компания представила не один, а целых три варианта прозрачных MicroLED-экранов, каждый с собственным дизайном.

Стартовало производство совершенно новой Skoda Octavia — с новыми светодиодными матричными фарами второго поколения и интеграцией ChatGPT Официальная премьера рестайлинговой Skoda Octavia состоялась в середине февраля, а сейчас компания сообщила о запуске автомобиля в серийное производство. Изображение: Skoda Выпускают автомобиль на заводе в Млада-Болеславе с четырьмя бензиновыми и двумя дизельными двига...

Terran Orbital получила контракт на 15 миллионов долларов от Космических сил США Компания Terran Orbital, специализирующаяся на разработке и производстве малых спутников, заключила контракт с оборонным подрядчиком Axient Corp. на поставку оборудования и интеграционные услуги для поддержки экспериментов Космических сил США с малыми спутниками.

Семейный кроссовер с мотором Volvo и 7 местами скоро появится в России Новая модель Okavango L 2024 сочетает в себе надежность и функциональность семейного кроссовера с передовыми технологиями и привлекательным дизайном

Стилус Apple Pencil 3 сможет вибрировать? Новинке приписывают технологию тактильной обратной связи Уже 7 мая Apple представит новые планшеты, а с ними, вероятно, и новый стилус Apple Pencil 3. Согласно свежим данным, это будет первый стилус компании с тактильной обратной связью.  фото: Apple Наличие такой вибрации позволит расширить возможности цифрового пера, ...

Росатом начал тестирование нового оборудования в Северске На опытно-демонстрационной площадке проекта «Прорыв» в городе Северск, Томская область, начались испытания уникального оборудования для производства инновационного ядерного топлива. Это событие отметили на международном форуме «Атомэкспо-2024», который проходит в федеральной...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Япония ужесточит контроль экспорта полупроводников, Китай грозит принять ответные меры Правительство Японии заявило о планах ужесточить контроль за экспортом полупроводников, оборудования и передовых материалов. Перед экспортом оборудования и материалов, которые могут быть использованы в военных целях, компании должны уведомлять регулятора. Китай уже выра...

Гигант стейблкоинов Tether ставит на будущее нейротехнологий и вкладывает $200 млн в стартап, занимающийся нейроинтерфейсами Tether Holdings Ltd., эмитент крупнейшего стейблкоина USDT, объявила о масштабной инвестиции в размере $200 миллионов в Blackrock Neurotech, компанию из Солт-Лейк-Сити, специализирующуюся на разработке передовой технологии интерфейса «мозг-компьютер». Инвест...

Bloomber: Японский миллиардер планирует запустить ИИ-стартап для конкуренции с Nvidia У основателя SoftBank Масаёси Сона большие планы относительно новой компании по производству чипов для искусственного интеллекта. Он готов инвестировать в бизнес $100 млрд.

ГК Softline и технопарк «Сколково» открыли Центр технологического суверенитета Новое пространство призвано помочь отечественным компаниям укрепить импортонезависимость путем консолидации экспертизы в области российских продуктов, а также за счет развития плодотворного сотрудничества и внедрения передовых технологий и решений.

Представлены PHOLED-экраны. Что в них особенного? Американская компания Universal Display подготовилась к производству компонентов на основе технологии PHOLED.

Rokit Dr. INVIVO 4D6: 3D-биопринтер-инкубатор для восстановления костей и хрящей - кейс Top 3D Group В 2023 году компания Top 3D Group поставила и интегрировала в научно-исследовательские процессы 3D-биопринтер Rokit Dr. INVIVO 4D6 для Национального медицинского исследовательского центра имени академика Мешалкина.ВидеоверсияКейсНовосибирский Центр — это одно из крупнейших в...

Представлен Chery Tiggo 7 2024. Новое «лицо», новый вариатор и цена всего 10 тыс. долларов Только-только в Сети появились живые фото обновленного кроссовера Chery Tiggo 7 — и вот уже машину представили официально. Tiggo 7 2024 сохранил знакомые очертания, но получил новое «лицо» с крупной «точечной» решеткой радиатора и хромиров...

Xiaomi внедряет передовую технологию одноточечного ультразвукового сканера отпечатков пальцев Ультразвуковые сканеры в новых смартфонах распознают даже сердцебиение человека и способны создавать трехмерные карты отпечатков.

В Тверской области начнут производить канатные дороги В ходе встречи с президентом России Игорь Руденя, губернатор Тверской области, раскрыл планы по созданию инновационного производства в рамках особой экономической зоны «Эммаусс». Новое предприятие будет специализироваться на производстве канатных дорог и их компонентов, заме...

Vivo представила флагманский смартфон X100 Ultra с 200-мегапиксельной камерой Компания Vivo официально представила свой новый флагманский смартфон X100 Ultra, оснащённый мощным процессором Qualcomm Snapdragon 8 Gen 3 и передовой 200-мегапиксельной камерой с перископической оптикой.

Арктический НОЦ разработал стандарт аддитивных технологий для судостроительной отрасли Новый ГОСТ охватывает принципы сертификации в производстве судовых деталей методами 3D-печати. Согласно предлагаемому регламенту, утверждаться будет та или иная разработанная аддитивная технология для судостроения, а не отдельные материалы — это поможет ускорить внедрение.

КАМАЗы поколения К5 получат холодильники отечественного производства POZIS, дочернее предприятие Ростеха, разработало холодильник для хранения продуктов в кабине КАМАЗов. Оборудование создано в рамках программы импортозамещения и будет использоваться в грузовиках поколения К5, пишет госкорпорация.

Астраханский ученый разработал новую технологию сварки металлов Старший преподаватель кафедры технологии материалов и промышленной инженерии Астраханского госуниверситета имени В. Н. Татищева Радмир Рзаев создал инновационную технологию сварки разнородных металлов. Она позволит получать сварные швы с улучшенными характеристиками и удешев...

Почти монополия: Китай ограничивает экспорт не только редкоземельных металлов, но и технологий по их переработке Китай принял решение существенно ограничить экспорт технологий, связанных с добычей, разделением и производством редкоземельных металлов. С 1 августа 2023 года КНР запретили вывоз за рубеж галлия и германия (а чуть позже и графита), а теперь последовало новое правило с запре...

Первая собранная «Волга» нового поколения появится в середине 2024 Вице-премьер и глава Минпромторга РФ Денис Мантуров, который занимает пост председателя совета директоров АвтоВАЗа, подтвердил планы на запуск производства автомобилей Волга в середине 2024 года в Нижнем Новгороде. Насколько мне известно, в ближайшее время нижегородский...

Представлен телеобъектив Xiaomi 14 Лу Вейбинг, президент Xiaomi Group и генеральный менеджер бренда Redmi, опубликовал новый тизер Xiaomi 14, который посвящён телеобъективу. Он подтвердил, что обе модели линейки Xiaomi 14 получат 75-мм телеобъектив Leica, который включает группу линз с плавающей фокусиро...

Представлен современный битопливный автомобиль с газовым оборудованием российской сборки. Первая партия уже готова «Автотор» и «Газпром газомоторные системы» представили новую версию BAIC U5 plus. Этот автомобиль оснащен газобаллонным оборудованием и был произведен на заводе в Калининградской области. Для этой модели было использовано современное газовое обо...

Большой, аэродинамичный, экономичный и «грустный». Представлен совершенно новый Mercedes-Benz Actros Mercedes-Benz представила совершенно новый тягач Actros L. Грузовик получил новую кабину, унаследованную от показанного в прошлом году электрического eActros 600. Только за счет новой более аэродинамичной кабины удалось снизить расход топлива на 3%, еще 4% позволяет эко...

Заводы TSMC оказались не готовы к переходу на новое литографическое оборудование Нидерландская компания ASML, флагман производства литографических машин для печати кремниевых пластин, поставила Intel первую машину для экстремального ультрафиолета (EUV) (EXE:5000). Это выводит компанию на новый уровень. Но, как оказалось, один из главных конкурентов Intel...

Эксперты призывают компании, производящие аккумуляторы, внедрять технологии нового поколения. Аккумуляторы китайского производства на выставке в Мюнхене, Германия.

Российские учёные разработали новую технологию производства зубных имплантов В России разработали технологию производства керамических зубных имплантов

NVIDIA прекращает производство видеокарт без поддержки трассировки лучей Отныне все GPU будут обладать новейшими технологиями

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Новый тип ОЗУ от Samsung. LPCAMM — что это и зачем эта память нужна? Корпорация Samsung относительно недавно представила новый форм-фактор оперативной памяти. Он получил название LPCAMM (Low Power Consumption Attached Memory Module). По словам разработчиков, у технологии есть несколько преимуществ, включая компактность и энергоэффективность. ...

Новый скафандр SpaceX создан с применением технологий 3D-печати Компания SpaceX сконструировала новые скафандры, на этот раз для выхода в открытый космос. Без аддитивных технологий не обошлось: компания утверждает, что шлемы изготавливаются на 3D-принтерах, при этом производство скафандров со временем планируется поставить на поток для о...

Жители сразу двух районов Бузулука получили новые скорости мобильного интернета Новое телеком-оборудование с поддержкой 4G позволило в пять раз увеличить скорость мобильного интернета для жителей центральных улиц и шестого микрорайона.

КамАЗ представил автобус будущего. КамАЗ-5222 с новым дизайном, несущим кузовом, 290-сильным мотором и «автоматом» пойдет в серию не раньше 2025 года На Международном газовом форуме, который сейчас проходит в Санкт-Петербурге, КамАЗ представил не только новую версию своего внедорожного автобуса КамАЗ-6250, но и совершенно новый пассажирский КамАЗ-5222. Это модель на перспективу: производство начнется не ран...

Россия вдвое увеличивает план выпуска крылатых ракет морского базирования «Калибр» Министру обороны РФ было доложено, что по производству крылатых ракет морского базирования «Калибр», несмотря на увеличение плана в два раза, предприятие выполнило нормы гособоронзаказа (ГОЗ) уже на 17%, сообщили в министерстве.

NVIDIA представила технологию G-SYNC Pulsar Компания NVIDIA представила новое поколение технологии переменной частоты обновления Variable Refresh Rate (VRR), которая значительно увеличивает резкость изображения в динамических сценах благодаря мерцанию с переменной частотой.

Цветы в технологиях: инновационные способы использования цветов в производстве и инжиниринге Цветы, помимо своего эстетического значения, находят применение в различных областях, включая технологии и инжиниринг. Инновационные способы использования цветов в производстве и инжиниринге открывают перед нами новые перспективы и возможности. Они помогают не только создава...

Магазины Apple готовятся к запуску Vision Pro в начале 2024 года Также сообщается, что в ближайшее время розничные магазины Apple получат новое оборудование для сборки и упаковки Vision Pro.

Британия применила 3D-печать в ходе маневров НАТО Британские сухопутные войска стали первыми, кто применил 3D-печать запчастей во время крупнейших за поколение военных учений НАТО в Европе. Это знаменует собой новую веху в использовании передовых технологий для повышения боеготовности армии.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Ракетный двигатель Hadley стартапа Ursa Major успешно прошёл испытания на гиперзвуковом самолёте Stratolaunch 9 марта стартап Ursa Major, специализирующийся на разработке ракетных двигателей, объявил, что их новый двигатель Hadley успешно протестирован на гиперзвуковом испытательном самолёте Stratolaunch. Stratolaunch, оснащённый модифицированным двухфюзеляжным Boeing 747-400, ...

AAEON представила две новые системы искусственного интеллекта - BOXER-8653AI и BOXER-8623AI AAEON является пионером в области промышленного ИИ-оборудования и имеет статус Elite member of the NVIDIA Partner Network

Под Владимиром появился центр для импортозамещения деталей и оборудования Российская компания «Аби» запустила инжиниринговый центр во Владимирской области для производства деталей и оборудования, замещающих импортные аналоги. Создание новой структуры поддерживается нацпроектом «Наука и университеты» и предполагает инвестиции в размере 187 миллионо...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

МТС запустит технологию XGS-PON для сверхскоростного домашнего интернета Испытание оборудования на узле связи в Москве подтвердило техническую готовность МТС подключать в 2024 году к сверхбыстрому интернету квартиры в новых жилых комплексах при наличии договоренности с застройщиками.

На RTD 2023 представят первые результаты работы нового кластера «Ростелекома» — X-технологии На Rostelecom Tech Day кластер X-технологии представит новые решения цифрового бизнеса на базе венчурного фонда «КоммИТ Кэпитал» и первые результаты его работы.

Гендиректор Intel: завод в Германии по производству чипов станет ведущим в мире Генеральный директор Intel, Пэт Гелсингер, во время Всемирного экономического форума в Давосе объявил, что их завод в Магдебурге, в Германии, станет не только самым передовым в Европе по производству чипов, но и займет ведущее место в мире.

Покупателям нового автомобиля Huawei полагаются скидки и льготы на 4100 долларов Новая версия Aito M7, разработанная Huawei и Seres, получила скидку в 410 долларов и другие льготы на сумму 4100 долларов для клиентов, размещающих предварительные заказы. Помимо скидки, покупатели (1650 долларов США) для покупки дополнительного оборудования для интерье...

В США сокращают закупку супербомбардировщиков B-21 задолго до завершения их производства Планы на производство 100 самолетов не будут реализованы до середины или конца 2030-х годов, что свидетельствует о низком темпе их сборки.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Stratolaunch успешно испытала в США новый гиперзвуковой аппарат Talon-A Американская аэрокосмическая компания Stratolaunch только что заявила о себе, проведя первый испытательный полет своего нового гиперзвукового исследовательского аппарата.

Новый хит, но пока только в Китае. На дешевый люксовый кроссовер Li Auto L6 оформлено 20 тыс. заказов за 12 дней Продажи новейшего кроссовера Li Auto L6 в Китае стартовали 12 дней назад, и за это время на машину оформлено уже 20 тыс. заказов. Это официальная статистика. Также компания сообщила, что в течение 6 дней покупателям доставлено более 2 тыс. кроссоверов. В течение мая пр...

Бывший завод Bridgestone перезапустят: обещают новый рисунок протектора и ребрендинг На встрече губернатора Ульяновской области Алексея Русских с руководством компании S8 Capital было объявлено о планах по перезапуску завода по производству шин в индустриальном парке «Заволжье» в 2024 году. Этот завод ранее принадлежал компании Bridgestone. ...

Huasun представил гетеропереходные солнечные модули 0BB с технологией Zero Busbar   Компания Huasun Energy, крупнейший в мире производитель продукции для гетеропереходов (HJT), представила свою последнюю инновацию в области высокоэффективных модулей с инновационной технологией Zero Busbar (0BB). Это передовое усовершенствование было легко интегрирова...

В России создали новую технологию производства анимации с помощью ИИ Российские разработчики из Московского физико-технического института (МФТИ) представили инновационную нейросеть, позволяющую упростить и ускорить процесс создания анимированных роликов и мультфильмов. Эта технология, названная Anix, обладает способностью создавать все промеж...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)