Социальные сети Рунета
Понедельник, 20 мая 2024

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Xiaomi начала массовое производство своего народного флагмана Redmi K70 Xiaomi объявила о старте массового производства своего новейшего флагмана — Redmi K70.

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

Nissan начнет массовое производство электромобилей с новыми батареями Nissan планирует начать массовое производство электромобилей на основе новых батарей к началу 2029 года. Компания видит шанс догнать и возможно опередить конкурентов с помощью более мощных, дешевых, безопасных и быстрых в зарядке батарей нового поколения.

Samsung назвала сроки начала массового производства новых батарей Чхве Юн Хо, президент южнокорейского аккумуляторного гиганта Samsung SDI, объявил на InterBattery 2024, крупнейшей выставке аккумуляторов в Южной Корее, что 46-миллиметровая батарея, разработанная компанией, будет запущена в массовое производство в начале 2025 года. Он ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

LG начала массовое производство гибких OLED-дисплеев для 17-дюймовых ноутбуков будущего LG Display объявила о старте массового производства 17-дюймовых гибких OLED-панелей для ноутбуков.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

2-нм SoC Apple будет выпущена TSMC в 2025 году, при этом производитель готовится к запуску 1,4-нм чипов в 2027 году По данным DigiTimes, производство 2-нм однокристальных систем нового поколения, предназначенных для будущих устройств Apple, должно начаться в следующем году. На предприятиях TSMC по производству чипов начнут устанавливать оборудование, предназначенное для производства ...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Xiaomi начнет продажи глобальной версии Redmi Note 13 уже 24 января Xiaomi объявила о скором начале продаж своей новой серии смартфонов Redmi Note 13 на глобальном рынке.

И снова Samsung впереди всех. Компания первой приступила к массовому производству флеш-памяти V-NAND девятого поколения. Это микросхемы TLC ёмкостью 1 Тбит Samsung Electronics объявила о том, что первой на рынке запустила производство флеш-памяти V-NAND девятого поколения.  В данном случае речь о памяти TLC ёмкостью в 1 Тбит. Вероятно, это новая 290-слойная память, но в пресс-релизе Samsung об этом не говорит.  ...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Sonos объявила о задержке выпуска своих новых наушников Компания Sonos столкнулась с технической неисправностью своих новых наушников, что привело к задержке массового производства. Этот этап, известный как тестирование валидации производства (PVT), был приостановлен из-за обнаруженного бага всего за две недели до начала массовог...

Lada Niva с ABS уже сходят с конвейера АвтоВАЗа Судя по всему, АвтоВАЗ скоро запустит массовое производство внедорожников Niva Legend и Niva Travel с ABS. Как пишет инсайдерский паблик Avtograd News, такие машины в единичных экземплярах уже собирают. «С конвейера АвтоВАЗа сошло несколько пробных автомобил...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Nissan рассказала о грандиозных планах: твердотельные аккумуляторы, новые завод и экспорт машин Dongfeng Nissan на мировые рынка Совместное предприятие Dongfeng Nissan официально объявило о результатах продаж за декабрь 2023 года: совокупный объем продаж составил 95 204 единицы, что на 42,8% больше, чем в прошлом году. При этом на праздники было получено 12 006 заказов. Nissan параллельно разраба...

Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080. Модели Super ожидаются уже в январе Анонс видеокарт GeForce RTX 40 Super намечен на начало января. И ранее мы уже слышали, что некоторые из новых карт призваны не расширить линейку RTX 40, а заменить существующие модели. И вот теперь сообщается, что массовое производство RTX 4070 Ti и RTX 4080 уже прекращ...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

Новая версия Lada Niva Travel выйдет уже в июне АвтоВАЗ начнет массовое производство внедорожников Lada Niva Travel с обновленной мультимедийной системой Lada EnjoY Pro в конце мая, о чем сообщает инсайдерский паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте». Как уже сообщалось, Lada Niva Tra...

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

Твердотельные аккумуляторы Toyota появятся в десятках тысяч автомобилей компании к 2030 году Твердотельные аккумуляторы Toyota будут ограничены «десятками тысяч» автомобилей в 2030 году и в последующий период Недавно Toyota объединила усилия с Idemitsu Kosan для разработки и производства твердотельных аккумуляторов для будущих электромобилей, однако...

Tesla начнет производство электротягачей Tesla Semi в Берлине Илон Маск заявил, что Tesla начнет производство электрических грузовиков Semi на заводе Gigafactory Berlin. Однако для компании важно начать массовое производство сначала в США.

LG Display представила монитор с переключателем разрешения Компания LG Display, ведущий производитель дисплеев в мире, сегодня официально объявил о создании первой в мире игровой OLED-панели с возможностью переключения частоты обновления и разрешения. Более того, если верить пресс-релизу, компания уже начала массовое производство 31...

Ирак начинает массовое лицензионное производство китайского БТР Norinco VN22 6×6 Комиссия оборонной промышленности Ирака (DICI) недавно объявила о начале местного производства бронемашин Norinco VN22 6x6, чтобы укрепить обороноспособность своей страны.

Китайцы захватывают собственный рынок: доля машин местного производства превысила 60% Китайская автомобильная ассоциация опубликовала данные, согласно которым в январе 2024 года объем продаж легковых автомобилей китайских брендов составил 1,278 млн единиц, что на 68,6% больше, чем в прошлом году. Их доля составила 60,4%, увеличившись на 8,8 процентных пу...

Это первый ноутбук с Intel Core HX 14-го поколения и RTX 4060. Появились фотографии Lenovo Savior Y7000P Компания Lenovo объявила сегодня о скором выпуске нового поколения игрового ноутбука Savior Y7000P, который поступит в продажу в январе. Он получил новый корпус и свежий процессор. Игровой ноутбук Savior Y7000P 2024 получил новую конструкцию с увеличенным задним воздух...

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

После покупки Activision Blizzard за $69 млрд Microsoft начали массовые увольнения Microsoft объявила об отмене неанонсированного проекта survival-игры от Blizzard. Это произошло спустя чуть более четырех месяцев после приобретения компанией Microsoft компании Activision Blizzard за 69 миллиардов долларов и стало последним событием в череде массовых увольн...

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

В Челябинске начнут производить новейшие высокотемпературные двигатели Изображение иллюстративное В третьем квартале текущего года в России будет запущено массовое производство новейших двигателей, устойчиво работающих при температуре более 100 градусов. Данные моторы предназначены для применения в технике и механизмах, функционирующих в экстр...

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Россия увеличивает производство новейшей РСЗО «Возрождение» Россия представила новую реактивную систему залпового огня «Возрождение», способную выполнять широкий спектр задач благодаря использованию снарядов различного калибра. Система, демонстрирующая высокую точность и гибкость применения, в скором времени начнет поступать в войска...

Intel объявила о скором выходе новых энергоэффективных процессоров Twin Lake Первой моделью из линейки выйдет процессор Intel N250

SK Hynix начинает серийное производство первой в отрасли памяти HBM3E Компания SK hynix Inc. объявила о начале серийного производства HBM3E, новейшего продукта памяти AI со сверхвысокой производительностью, который будет поставляться заказчику с конца марта

Объявлены кандидаты на звание «Автомобиль года в Европе 2024». Самые большие шансы на победу — у Китая Кандидатами на звание «Автомобиль года в Европе 2024» стали семь моделей китайского производства. Всего в списке 28 кандидатов. И 25% из них являются китайскими: BYD Atto 3 (Yuan Plus), BYD Han , BYD Dolphin, BYD Seal, Nio ET7, Smart #1 и Volvo EX30. Да, Sma...

«Ростех» рассказал о создании нового противодронового комплекса Инженеры включенного в структуру «Ростеха» НПО «Квант» завершили разработку передового комплекса «Гроза.04.К», ключевой задачей которого является противодействие дронам формата FPV. Массовое производство системы уже запущено.

Российские аналоги Mercedes-Benz S- и E-классов, недорого. Aurus запустит производство новых автомобилей Глава Минпромторга Денис Мантуров анонсировал скорый запуск производства в России новых автомобилей Aurus, они будут меньше и дешевле нынешнего Aurus Senat. Фото: Aurus «Мы планируем в ближайшее время запустить в производство линейку автомобилей категории S-класс...

Apple ARM и Intel Nova Lake станут первыми 2-нм чипами, производимыми на TSMC В ближайшие годы Apple и Intel вступят в новую эпоху вычислительной технологии, благодаря разработке и внедрению 2-нанометровых процессоров в партнерстве с TSMC

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Память 3D NAND с более чем 1000 слоёв. Kioxia уже планирует выпускать такую память Современная память 3D NAND имеет уже более 200 слоёв. Но в ближайшие годы этот показатель продолжит активно расти. Компания Kioxia, к примеру, говорит о том, что уже планирует массовое производство памяти с более чем 1000 слоями.  фото: Kioxia Ждать, правда, придё...

Toyota собирается выпускать новые модели внедорожников и гибридных машин в Бразилии Вице-президент Toyota Motor Brazil сообщил, что в ближайшие несколько лет Toyota инвестирует в Бразилию более 2,2 млрд долларов. Вице-президент и министр промышленности Бразилии Джеральдо Алкмин заявил, что об инвестициях будет официально объявлено на мероприятии на зав...

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Android движется по пути RISC-V Экосистема Android движется по пути архитектуры RISC-V, которая в скором времени получит поддержку данной ОС. Компания Qualcomm объявила о выпуске первой массовой SoC для Andoid архитектуры RISC-V.

В США запустят массовое производство человекоподобных роботов «общего назначения» В США робототехническая компания Agility Robotics откроет первый в мире завод для массового производства человекоподобных роботов. Предприятие называется RoboFab.

Apple уже работает над новой версией Vision Pro В начале текущего месяца компания Apple объявила о выпуске шлема дополненной реальности Vision Pro, и, если верить инсайдерам, компания уже работает над следующим поколением гарнитур дополненной реальности, чтобы улучшить пользовательский опыт и устранить определённые пробле...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Samsung вырывается вперёд огромным скачком. Компания готовит к анонсу 290-слойную память V-NAND, а за ней придёт 430-слойная Компания Samsung уже в следующем месяце анонсирует память NAND нового поколения. Это будет V-NAND 9-го поколения с 290 слоями.  Это будет самая многослойная память NAND на рынке, но пока неизвестно, когда на её основе появятся первые SSD. Вполне вероятно, что уже ...

SpaceX построит гигантский стартовый комплекс для массовых запусков Starship: ракеты будут взлетать почти каждую неделю Компания SpaceX собирается построить специализированный стартовый комплекс для своих ракет Starship. Как сообщает Bloomberg, новые мощности разместятся на территории Космического центра имени Джона Кеннеди во Флориде. Согласно оценке SpaceX, новый стартовый комплекс поз...

Скоро Apple может показать новые Mac Авторы ресурса MacRumors со ссылкой на собственные источники сообщают о том, что в ближайшее время Apple планирует расширить программу trade-in. Согласно имеющимся данным, уже скоро компания начнет принимать новые модели Mac, которые раньше не учитывались. Авторы MacRumors в...

Apple начала производить iPhone 15 в Бразилии Компания стремится диверсифицировать производство

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Завод «Москвич» начнет производить зарядных станций для электромобилей Автозавод "Москвич" рассматривает возможность внедрения производства зарядных станций для электромобилей, как сообщил коммерческий директор завода, Александр Мигаль, в интервью ТАСС.

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

Бывший российский завод Michelin будет выпускать шины двух новых марок На территории бывшего Michelin в посёлке Давыдово, Московская область, готовится к старту производство шин под марками Selna и Farlight, как сообщает телеграм-канал «Автопоток». В марте 2022 года французский гигант шинного производства объявил о приостановке...

В России начали серийно производить премиальный ноутбук «Гравитон» Н17И-Т 29 сентября российская компания Гравитон объявила о начале серийного производства собственного ноутбука «Гравитон» Н17И-Т. По их заявлениям, модель не только полностью разработана и произведена на территории России, но и способна полноценно заменить настольный ПК. «Гравитон...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Дилеры начали спекулировать ценами, за древней машиной выстроилась очередь. Производство Peugeot Pars (Peugeot 405) решили продолжить еще на три месяца Иранская автомобильная компания Khodro Bank объявила о продлении производства культового седана Peugeot Pars. Этот автомобиль представляет собой рестайлинговую версию легендарного Peugeot 405, выпускавшегося в конце 1980-х годов. Решение о возобновлении сборки Pars было...

Tesla объявила дату первых поставок Cybertruck — 30 ноября 2023 года Компания планировала начать массовое производство модели ещё в 2021 году.

Машины точно подорожают: после грандиозной забастовки Ford будет «тщательно думать, где выпускать автомобили» Увеличение заработной платы и льгот для сотрудников Ford приведет к увеличению цен на автомобили. Ford заявила, что автомобили будут стоить на 900 долларов больше из-за контракта, как только он вступит в силу. Как и остальная часть отрасли, автопроизводитель готовится к...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Китайцы попрощались с Mitsubishi. Вместо японской марки теперь Hunan Zhixiang Automobile Mitsubishi ушла из Китая, а единственное совместное предприятие, производившее машины для местного рынка, — GAC Mitsubishi Motors — официально сменило название Hunan Zhixiang Automobile. При этом Mitsubishi Motors Corporation и Mitsubishi Corporation не явля...

Начало массового производства H20 и других ускорителей вычислений для Китая ожидают в 2-м квартале NVIDIA разработала новые модели под новые санкции США.

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

Micron Technology объявила о начале серийного производства памяти типа HBM3E HBM3E (High Bandwidth Memory 3E) будет использоваться в графических процессорах NVIDIA H200 Tensor Core

Samsung Glasses начнут продавать уже в начале 2024 года Сегодня появилась информация от инсайдеров о том, что корейский гигант Samsung планирует назвать свое следующее носимое устройство виртуальной реальности Samsung Glasses. Это название компания официально зарегистрировала на локальном рынке, так что, вероятно, его будут испол...

Toyota станет более китайской: новые машины переведут на платформу BYD, позволяющую проезжать до 2000 км на баке бензина В ближайшие два-три года Toyota выпустить несколько новых моделей, которые будут построены на базе гибридной платформы BYD PHEV DM-i, о чем сообщают китайские СМИ. Японский автогигант больше не будет использовать платформу THS (Toyota Hybrid System). Ранее Toyota без ос...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Китай объявил о разработке нового метода массового производства оптических чипов Это может радикально изменить глобальный рынок полупроводников и укрепить технологическое лидерство страны.

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Госсекретарь США прокомментировал запуск ноутбука Huawei на новейших процессорах Intel Core Ultra. Блинкен заявил, что США не пытаются сдерживать развитие Китая Недавно Huawei представила свой первый ноутбук на процессоре Intel Core Ultra, что не понравилось законодателям США. Теперь относительно этого вопроса высказался лично госсекретарь США Энтони Блинкен (Antony Blinken).  фото: Huawei Что интересно, он фактически выс...

Президент АвтоВАЗа подтвердил: кроссовер на базе Lada Vesta в ближайшие два года Президент АвтоВАЗа Максим Соколов рассказал дополнительные подробности о планах российского автомобильного гиганта в ходе Баркемпа-2023 «Национальная технологическая революция 20.35». По словам топ-менеджера, в ближайшие два года мы можем увидеть кроссовер н...

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

"АвтоВАЗ" начнет производство новой модели Lada Президент компании "АвтоВАЗ" Максим Соколов в беседе с РИА Новости рассказал, что компания на заводе в Санкт-петербурге начнет производство новой модели Lada, пишет РИА Новости.

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Производители микросхем инвестируют свыше 300 миллиардов долларов на производство в США По прогнозам аналитиков, к 2030 году Штаты будут производить порядка 20% от всех передовых чипов и полупроводников.

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

Смартфон Nokia G22 выпущен в цвете So Peach Компания HMD Global в скором времени начнет выпускать смартфоны под собственным брендом, а тем временем представила смартфон Nokia G22 в новом цвете.

Производство Skoda Octavia, Kodiaq, Karoq и Kamiq в Казахстане вот-вот начнётся. Изначально машины будут поставлять только на внутренний рынок Сборка автомобилей Skoda на заводе «Сарыаркаавтопром» компании Allur в Костанае скоро начнётся, в данный момент завершается подготовка к производству, о чем пишет «Автопоток». Неделю назад стало известно, что Skoda и завод Allur подписали соглаше...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

При создании Snapdragon 8 Gen 4 Qualcomm использует наработки 2016 года. Новая платформа получит собственные ядра, и их может быть 12 На мероприятии Snapdragon Summit 2023 компания Qualcomm представила передовую однокристальную систему Snapdragon X Elite для ноутбуков под управлением Windows. Ее особенностью стал мощный центральный процессор Oryon собственной разработки. И, как оказалось сейчас, этот ...

RISC-V шагает по планете: в Китае появилась еще одна компания по производству чипов. Что о ней известно? В том, что в КНР начнет работу новая компания, разрабатывающая RISC-V процессоры, вроде бы нет ничего удивительного. В стране очень мощная отрасль производства электроники, в ней работают тысячи и тысячи компаний. Но глава этого "новичка" - экс-руководитель "дочки" ARM в Кит...

iPad Mini 7 может получить новый процессор и улучшенные камеры Планшет должен быть представлен в ближайшие месяцы

250 ящиков, включая 13 больших контейнеров. ASML начала поставки новейших 2-нм систем литографии компании Intel Голландский производитель полупроводникового оборудования ASML заявил о поставке первой из своих новых систем литографии в экстремальном ультрафиолете (High NA EUV) корпорации Intel. Ожидается, что новые машины, каждая из которых стоит более 300 миллионов долларов, помо...

В Китае спрогнозировано закрытие автомобильных заводов из-за бума электрокаров Истерика вокруг «зелёной» энергетики и бум спроса на электромобили породил цепную реакцию, в силу которой в Китае в скором времени могут появиться сотни «заводов-зомби». Это связано с тем, что строившиеся предприятия по производству автомобилей с ДВС обесцениваются и за этим...

TSMC планирует освоить передовые 2-нм и 1,4-нм технологические процессы к 2025 и 2027 годам Apple, скорее всего, станет первым заказчиком обоих узлов, если в ближайшие несколько лет не произойдет чего-то неожиданного.

Не стоит бояться, что новые процессоры AMD обеспечат незначительный прирост производительности. CPU на Zen 5 уже тестируются клиентами AMD Чистая прибыль AMD выросла на 1000% и сравнялась с чистой прибылью Intel Компания AMD уже располагает образцами процессоров на архитектуре Zen 5, а некоторые клиенты AMD уже успели опробовать такие CPU и остались довольны.  Глава компании Лиза Су (Lisa Su) говорит...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Уже в ноябре 2023 года «Нива» получит новый двигатель. И, возможно, получит ABS Инсайдерский паблик «Нетипичный АвтоВАЗ» сообщает о том, что в конце текущего месяца АвтоВАЗ начнет оснащать внедорожники Lada Niva Travel моторами «Евро-5». Изображение: Lada Напомним, в конце августа текущего года АвтоВАЗ начал оснащать мотора...

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Началось производство нового Skoda Kodiaq: завод выпускает 410 машин в день Компания Skoda объявила о старте массового производства своего нового кроссовера Kodiaq второго поколения. Сборка автомобиля осуществляется на заводе бренда в чешских Квасинах, предыдущем месте производства седана Skoda Superb. Согласно информации от пресс-службы компан...

В России стартует производство автомобилей нового бренда: обещают сразу три модели Forthing Российский автомобильный холдинг «Автотор» готовится к началу выпуска автомобилей марки Forthing в Калининграде в марте текущего года, о чем пишет ТАСС со ссылкой на пресс-службу завода. «На заводе "Автотор" в Калининграде ведется подгот...

Новые iPad представят уже 26 марта Ожидается, что Apple выпустит новые модели iPad в ближайшее время. Согласно новым данным, поступающим из Азии, компания анонсирует свои новые планшеты iPad во вторник, 26 марта. Китайский инсайдер Instant Digital заявил, что в эту дату Apple сделает анонс, связанный с н...

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Tesla начала массовые увольнения своих сотрудников Компания приступила к процессу сокращения производства и рабочих мест на 10%

Samsung готовит новое поколение памяти LPDDR5x для автомобилей Сегодня южнокорейская компания Samsung официально объявила о планах по внедрению памяти новых форматов LPDDR5x, GDDR7 и AutoSSD (твердотельная память специально для автомобильного рынка) в автомобильной промышленности в самом ближайшем будущем. В рамках форума об инновационн...

Новейшая Toyota Camry не стала хитом в Китае даже несмотря на невысокие цены. За два месяца собрано всего 8 тыс. предзаказов Совместное предприятие GAC Toyota отчиталось о продажах автомобилей в феврале текущего года: за прошлый месяц компания реализовала 43 271 автомобиль. Для сравнения, в январе продажи GAC Toyota составили 71 875 автомобиль. Падение продаж объясняют Новым годом в...

Samsung представила NAND-память 9-го поколения Сегодня компания Samsung официально объявила о запуске массового производства новых чипов памяти NAND 9-го поколения. Главное преимущество этого решения в том, что новые чипы памяти имеют на 50% большую плотность, чем чипы памяти 8-го поколения. Кроме того, чипы памяти 9-го ...

В Рязани начался массовый выпуск серверов OpenYard Компания «Центр открытых разработок» объявила о запуске массового производства ИТ-оборудования на собственном заводе, который расположен на территории технопарка «Рязанский». Комплекс общей площадью свыше 8 тыс. кв. м обеспечивает производство по...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Турция начала строительство завода по производству БПЛА Bayraktar под Киевом Предполагается, что в год компания сможет производить 120 своих дронов при помощи украинского завода.

Gmail начнет отклонять письма, несоответствующие новым требованиям Google начинает автоматически блокировать письма от массовых отправителей, которые не соблюдают строгие требования по борьбе со спамом и не аутентифицируют свои сообщения, в соответствии с новыми правилами.

Sony приостанавливает производство очков PlayStation VR 2 По информации Bloomberg Sony, скорее всего, больше не будет производить очки из серии PS VR 2.

Грузовик, для которого достаточно удостоверения категории B. Выпущен юбилейный КАМАЗ «Компас 5» Компания «Тракс Восток Рус», специализирующаяся на производстве грузовых автомобилей семейства КАМАЗ «Компас», завершила 2023 год значительным событием, выпустив свой 5000-й грузовик - новый КАМАЗ «Компас 5». Этот юбилейный малотоннаж...

Xiaomi объявила о «новой вехе в бизнесе ноутбуков» компании со скорым анонсом Redmi Book Pro 2024 Xiaomi готовится представить новый ноутбук Redmi Book Pro 2024, который обещает стать новой эпохой в мире ноутбуков компании.

Когда выйдет Samsung Galaxy S24 и каким он будет Мало кто сомневается, что Samsung совсем скоро выкатит новый смартфон серии Galaxy — S24. Можно даже с большой долей вероятности угадать, что выйдет он в начале следующего года, то есть через буквально пару месяцев. Но это только предположения, лишенные какой-то основ...

Bloomberg: Чипы M4 с улучшенным ИИ появятся во всей линейке Mac от Apple Производство новых чипов должно начаться в ближайшее время, и они появятся в конце 2024 - начале 2025 года, сообщает Bloomberg.

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

Компания Cognizant выбрана Alm. Brand Group для автоматизации предоставления услуг Компания Cognizant объявила о новом сотрудничестве с Alm. Brand Group, ведущей страховой компанией Дании. Компания Cognizant начнет выполнять ряд бизнес-процессов, которые раньше выполнялись другими сторонними поставщиками услуг компании Alm. Brand Group. Новые отношения буд...

Intel начала двигать Nvidia на рынке ИИ? Корейская компания Naver перешла с GPU Nvidia на CPU Intel для своего сервера с искусственным интеллектом За последний год компания Nvidia стала неоспоримым лидером на рынке поставщиков ускорителей для искусственного интеллекта. Однако на этом рынке присутствует не только она. Как сообщается, компания Naver заменила ускорители Nvidia на аналогичные решения Intel.  соз...

В России налажено массовое производство авиационных бомб ФАБ-3000 Министерство обороны РФ сообщило об увеличении выпуска бомб "малого" калибра, а также о начале производства трёхтонных авиационных бомб

Nvidia использует Samsung для создания графических процессоров с искусственным интеллектом Передовые процессы упаковки чипов необходимы для высоко востребованных графических процессоров искусственного интеллекта компании Nvidia. В связи с этим стало известно, что Samsung теперь будет производить продукцию для Nvidia.

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Apple уже несколько раз откладывала старт производства новых iPad Компания Apple уже несколько раз переносила дату начала массового производства новых iPad. Об этом рассказали авторы ресурса DigiTimes со ссылкой на собственные источники среди тайваньских поставщиков Apple.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы...

BYD продолжает запускать заводы в новых странах: стартовало производство машин в Таиланде, на очереди — Индонезия BYD начала тестовое производство на заводе в Таиланде. Серийное производство начнется в следующем месяце. Планируемый объем производства тайского завода составит 150 000 автомобилей в год. В апреле 2024 года BYD также начнет строительство сборочного завода в Индонезии. ...

Флеш-память 3D NAND нового поколения, возможно, будут производить при температуре -70 градусов. Hynix и Samsung тестируют криотравление Компания Hynix тестирует новую технологию, которую может использовать в ближайшем будущем для производства флеш-памяти. Речь о технологии криотравлении.  создано DALL-E Сейчас при производстве флеш-памяти используются процессы травления при температурах в диапазон...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

Huawei и тут собирается обойти санкции США. Компания наладит собственное производство памяти HBM Компания Huawei собирается выйти на новый для себя рынок, который позволит ей создавать более производительные чипы. Речь о производстве памяти HBM.  Huawei Technologies объединяется с Fujian Jinhua Integrated Circuit и другими китайскими компаниями для разработки...

Новейшие Mac на базе Apple M4 получат до 512 ГБ унифицированной памяти. Выход M4 ожидается уже в 2024 году По словам Марка Гурмана из Bloomberg, Apple начнет обновлять свою линейку Mac процессорами M4 в конце 2024 года. Чип M4 будет ориентирован на повышение производительности возможностей искусственного интеллекта. В прошлом году Apple представила чипы M3, M3 Pro и M3 Max в...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Массовое производство Snapdragon 8 Gen 4 намечено на III квартал 2024 года Компания Qualcomm сообщила о выходе Snapdragon 8 Gen 3 SoC в октябре прошлого года, и уже в начале 2024 года в розничной продаже появятся рабочие аппараты

NVIDIA Blackwell B200 потребляет 1200 Вт энергии Графические процессоры NVIDIA Blackwell B200 используют совершенно новую архитектуру, но всё равно потребляют очень много энергии — в два раза больше, чем графические процессоры прошлого поколения. Когда глава NVIDIA, Дженсен Хуанг, объявил о Blackwell во время презентации G...

В России построят первый Центр водородного инжиниринга Центр водородного инжиниринга, который станет первым шагом к развитию новой передовой отрасли экономики. Проект будет основан на использовании экологического топлива - водорода, производимого с использованием солнечной и ветровой энергии. В будущем планируется построить заво...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Dell представляет новые ноутбуки с процессорами Snapdragon X Elite и X Plus Компания Dell анонсировала выпуск новых моделей ноутбуков XPS 13 и Inspiron 14 Plus, оснащенных передовыми процессорами Snapdragon X Elite и X Plus, обещающими высокую производительность и энергоэффективность.

Samsung Electronics представила память нового поколения HBM4 Сегодня компания Samsung Electronics официально объявила о своих планах запустить массовое производство памяти HBM4 в 2025 году. Представители компании объяснили, что это позволит им разнообразить предоставляемые услуги для компаний-партнёров и удовлетворить потребности инду...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Xiaomi 15 первым получит Snapdragon 8 Gen 4 Если верить западным журналистам, процессор Qualcomm Snapdragon 8 Gen 4 должен официально выйти в октябре текущего года в роли нового флагманского мобильного процессора компании с внушительной производительностью и поддержкой различного рода передовых протоколов. Это крайне ...

TSMC запускает строительство завода по производству чипов в Германии Компания TSMC объявила о начале строительства своего европейского завода по производству полупроводников в Дрездене, Германия. Инвестиции в проект составляют 11 миллиардов долларов, а производство запланировано на 2027 год.

Смартфон Nokia XR21 Limited Edition выпущен ограниченной партией Как было объявлено ранее в феврале, компания HMD Global начала производство смартфонов на территории Европы, и Nokia XR21 Limited Edition — это первое устройство, выпущенное непосредственно с новой производственной линии.

Это завод Xiaomi по производству машин. Появились первые реальные фото и спутниковые снимки Первые реальные фотографии и спутниковый снимок автомобильного завода Xiaomi в Пекине опубликовали китайские СМИ. На снимке показаны несколько помещений, построенных на площади 720 000 квадратных метров. Строительство завода в Пекине началось в апреле прошлого года. Об...

Intel сняла с производства процессоры 13 поколения с разблокированным множителем Компания Intel объявила о снятии с производства разблокированных "коробочных" настольных процессоров 13-го поколения Raptor Lake-S

В Ижевске вовсю готовятся к перезапуску производства Lada Largus. В конце января будет сварен первый кузов из панелей, сделанных на предприятии АвтоВАЗ планомерно реализует проект по возобновлению производства Lada Largus в России – в Ижевске. По словам генерального директора завода Александра Богачёва, в конце января на предприятии сварят первый кузов из узлов, произведённых непосредственно в Ижевске. П...

SK hynix утверждает, что первой начала массовый выпуск памяти типа HBM3E И уже в этом месяце начнёт отгружать её клиенту.

БПЛА С-70 "Охотник": последняя информация о ТТХ и массовом производстве многообещающей новинки Вся актуальная информация о вооружении, характеристиках и скором появлении в войсках дрона С-70 "Охотник"

Раскрыта статистика продаж «Москвичей» за октябрь: в день покупали по два «Москвича 6» Telegram-канал «Автопоток» раскрыл статистику продаж автомобилей «Москвич» в минувшем месяце. Оказалось, что за октябрь было продано 1598 «Москвичей» всех моделей. Из них «почти 30» новых «Москвичей 6». Изобра...

Украина начала массовое производство беспилотника Backfire K1 собственной разработки 5 апреля 2024 года в социальных сетях было сделано заявление о запуске серийного производства инновационного беспилотного дрона-бомбардировщика Backfire K1, разработанного внутри страны.

В Подмосковье запустили производство материнских плат, SSD и модулей оперативной памяти В подмосковном Фрязино компания «Инферит» начала производство компонентов для компьютерного и серверного оборудования на новой линии поверхностного монтажа. Новая линия поверхностного монтажа на собственном заводе компании позволяет осуществлять сборку матер...

Моторы «Евро-5» вернутся в УАЗ «Патриот» и «Пикап» в 2024 году. Они получат новые блоки управления После прошлогоднего кризиса УАЗ начал устанавливать на свои автомобили двигатели «Евро-2», что допускается сейчас техрегламентом. Однако эти моторы не задержатся надолго под капотом отечественных внедорожников: УАЗы получат двигатели «Евро-5» уже...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

Компания Textron Systems начала поставлять SECAT - новый военный транспорт-амфибию США Американская компания Textron Systems, занимающаяся разработкой и производством аэрокосмической и оборонной продукции, начала производство нового высокоскоростного транспортного средства-амфибии SECAT.

В Ульяновске будут производить компоненты безопасности для автомобилей Алексей Русских, губернатор Ульяновской области, в своем Telegram - канале объявил о важном инвестиционном соглашении, направленном на развитие производства компонентов безопасности для автомобилей. Инвестор вложит 1,5 миллиарда рублей в новое производство, расположенное на ...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0 на архитектуре RISC-V InnoGrit начала массовое производство контроллера YRS820 PCIe 5.0, который работает на архитектуре RISC-V

Новые iPad Pro появятся уже в марте По имеющейся информации, компания Apple выпустит новые модели iPad Pro к концу следующего месяца с большим количеством изменений. Например, инсайдеры уверены в том, что компания внесёт значительные апдейты в дизайн и форм-фактор планшета, так что планшеты получат большую диа...

Китай и 5-нм техпроцесс: компании SMIC, скорее всего, удалось освоить новые технологии производства чипов Китайские компании, которые занимаются разработкой и производством электроники, несколько лет находятся под весьма жёсткими торговыми санкциями США. Ряд организаций потерял возможность заказывать чипы у контрактных поставщиков вроде TSMC, что стало очень сильным негативным ф...

В SK Hynix подтвердили, что компания планирует перенести своё производство в Соединённые Штаты Массовое производство чипов памяти на новом предприятии может начаться в 2028 году

Apple внедрит ИИ-процессор в MacBook Pro уже в конце 2024 года По данным аналитика Bloomberg Марка Гурмана, компания Apple начнет оснащать свою линейку компьютеров Mac процессорами M4 в конце 2024 года.

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Mercedes-Maybach нового класса Sport Utility Sedan (SUS) — смесь седана и кроссовера — отменили через шесть лет после анонса Представленный в 2018 году Vision Mercedes-Maybach Ultimate Luxury не пойдет в серию, как сообщает немецкая деловая газета Handelsblatt. Производитель автомобилей класса люкс отказался от массового производства странной смеси седана и кроссовера. Идея заключалась в том,...

Samsung начнет рекламировать свои гаджеты с помощью популярных видеоигр Activision Blizzard Samsung Electronics объявила о новом партнерстве с Activision Blizzard.

Motorola начнет использовать Corning Gorilla Glass во всех своих смартфонах Motorola объявила о заключении нового соглашения на MWC, согласно которому она начнет использовать стекло Corning Gorilla Glass во всех своих смартфонах вперед.

[Перевод] Взлёт TSMC Семь-восемь лет назад TSMC производила процессоры, которые отставали от аналогичных процессоров Intel на несколько поколений. Пятнадцать лет назад выпускала дешёвые чипы на заказ, которые не ставили рекордов производительности. А тридцать лет назад едва появилась на свет. &n...

ByteDance сокращает геймдев- начались массовые увольнения в Nuverse ByteDance, компания-владелец TikTok, объявила о массовых увольнениях в своем игровом подразделении Nuverse после двух лет амбициозных попыток войти на рынок видеоигр

Аквариус и Nemifist запускают производство игровых и корпоративных компьютеров в Твери Компания "Аквариус" в сотрудничестве с Nemifist объявила о начале производства специализированных игровых ПК и компьютерных сборок для корпоративного сектора на своих мощностях в Твери. Планируется выпуск шести моделей, включая бюджетные, среднебюджетные и топовые устройства...

Процессор Snapdragon 8 Gen 3 протестировали на базе ASUS ROG Phone 8 Ultimate Если верить информации крупных инсайдеров, компания Qualcomm объявит о релизе своего нового флагманского процессора Snapdragon 8 Gen 3 уже через месяц. И, что вполне ожидаемо, прямо сейчас процессор проходит различного рода тесты в бенчмарках на различных платформах, так как...

Двигатели для Haval M6, Jolion и Dargo будут производить в России Сегодня у российского офиса Haval был большой день: объявлены впечатляющие итоги продаж в текущем году, запущены продажи автомобилей нового бренда Ora, анонсирован интересный кроссовер Wey 05. А под занавес дня новость, которая касается Haval непосредственно: двигатели ...

Hisense совместными с Xbox усилиями привнесла в индустрию игр передовой лазерный дисплей Hisense, глобальная корпорация по производству бытовой электроники и техники, объявила о новом партнерстве с Xbox с целью вывести впечатления от домашних игр и развлечений на новый уровень за счет передовой технологии лазерных дисплеев. Партнерство, объединяющее лазерные дис...

Xiaomi, Huawei, Oppo и Honor разрабатывают новый стандарт изображения HDR Xiaomi производит и продает смартфоны с одним из самых передовых аппаратных обеспечений камеры, которое можно найти на рынке.

ВКС РФ начали применять новейшие разновидности бомб-планеров с мотором и повышенной обтекаемостью Производить передовой боеприпас начали только в феврале 2024 года

Проект Google по производству геотермального электричества начал подачу электроэнергии к ЦОД Передовой геотермальный проект начал подачу электроэнергии, не содержащей углерода, в энергосистему Невады для питания центров обработки данных компании Google.

АвтоВАЗ выпустит четыре новые модели Lada АвтоВАЗ планирует выпустить четыре новые модели в ближайшие два года, что подтвердил президент компании Максим Соколов. За два года на конвейере завода появятся следующие автомобили: бизнес-седан Lada Aura, массовый легковой автомобиль Lada Iskra, электромобиль e-Largus...

NVIDIA начнет раздавать подписку на Game Pass за покупку видеокарт RTX 40XX NVIDIA объявила о новой акции для покупателей своей серии видеокарт RTX 40ХХ.

Кадыров: Чечня начала производить дроны для нужд СВО Первая партия из 100 боевых БПЛА в самом ближайшем времени отправится "за ленточку"

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

Nextorage начнет продажи накопителей NVMe "X Series", совместимых со стандартом PCIe Gen 5.0 В этом месяце компания Nextorage начнет продажи твердотельных накопителей NVMe "X Series", совместимых со стандартом PCIe Gen 5.0

Apple готовится существенно обновить компьютер iMac Инсайдеры заявили, что процессор M3 от Apple изначально должен был появиться в этом году с улучшенными характеристиками и более высокой производительностью в рамках новых ноутбуков MacBook Air. Однако по новым данным, которые появились сегодня ночью, запуск передового процес...

Дисплеи для iPhone 16 начнут производить уже в ближайшие недели Производство дисплеев для iPhone 16 и iPhone 16 Pro начнется в июне, сообщает аналитик Росс Янг.

Игровой ASUS ROG Phone 8 с новым дизайном показали на первом тизере Компания ASUS объявила о скором выпуске нового поколения игрового смартфона ROG Phone.

Начинается работа над подготовкой операторов для БПЛА С-70 Охотник В скором времени Ростех планирует запустить массовое производство данных тяжёлых ударных дронов

В скором времени в России будет запущено массовое производство морских дронов-камикадзе На сегодняшний день ББКН "Одуванчик" проходит испытание в зоне Спецоперации на Украине

Российские компании начнут выпускать технику на китайских процессорах Российская компания «Норси-Транс» объявила о начале выпуска техники на базе китайских процессоров Loongson.

Оглушительный успех Huawei Mate 60 вынуждает запустить производство Huawei P70 с опережением графика: доля китайских запчастей сильно превысит 90% Согласно последним новостям из цепочки поставок, поскольку линейка Huawei Mate 60 продаётся настолько хорошо, Huawei планирует начать массовое производство серии P70 раньше запланированного. По данным из цепочки поставок, Huawei начала резервировать детали и компоненты ...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Смартфон Redmi Turbo 3 официально представят 10 апреля В начале этого месяца Redmi внезапно объявила о запуске новой серии под названием Redmi Turbo, подтвердив при этом, что первый представитель получит название Redmi Turbo 3.

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Компания Haval начала производство обновленного внедорожника Haval H9 Производство обновлённого рамного внедорожника Haval H9 началось в Китае. В скором времени запуск предполагается и в России, где новинка должна заменить предыдущую модель.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Yadro планирует занять 10-15% российского рынка планшетов среднего уровня Компания Yadro планирует занять 10-15% российского рынка планшетов среднего ценового сегмента стоимостью около 40 тыс. рублей. Об этом рассказал генеральный директор компании Дмитрий Черкасов на презентации, посвящённой запуску операционной системы kvadraOS, которая про...

Складные смартфоны Oppo Find N3 и Find N3 Flip начнут официально продавать в России Oppo объявила о скором начале продаж в России своих новых складных смартфонов — Oppo Find N3 и Find N3 Flip.

Процессоры Intel Meteor Lake всё же выйдут на ПК Некоторое время назад представители компании Intel официально сообщили о том, что они не планируют новое поколение процессоров Meteor Lake выпускать на десктопных компьютерах. Это достаточно странное решение, которое не понравилось многим геймерам, потому что они надеялись п...

Daihatsu начала возобновлять производство авто после полутора месяцев простоя из-за скандала с краш-тестами Японский автопроизводитель Daihatsu (дочерняя компания Toyota Motor по выпуску малолитражных автомобилей) частично возобновил производство своих машин. Напомним, все четыре завода компании в Японии прекратили работу в конце декабря прошлого года, поскольку Daihatsu оказ...

Землетрясение на Тайване может вызвать серьёзные проблемы в поставках передовых чипов Компания производит чипы для таких гигантов как: Apple, Nvidia, AMD, MediaTek, Qualcomm

Apple не стала заморачиваться и создаёт для себя облачные серверы ИИ на основе обычной SoC M2 Ultra. Позже начнут использовать M4 Компания Apple, возможно, не будет заморачиваться с разработкой собственного специализированного процессора для облачных серверов. Или по крайней мере такой CPU выйдет нескоро, так как Apple будет использовать обычные потребительские SoC.  фото: Apple Как сообщает...

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Hisense объявил об акции «Скидки, которые выходят ЗА РАМКИ» на праздники  На предстоящий сезон праздников Hisense, глобальная корпорация по производству потребительской электроники и бытовой техники, в начале этого месяца запустила «Скидки, которые выходят ЗА РАМКИ». В сезон праздников Hisense предлагает домашние развлечения наивысшего у...

Сенатор Вэнс: США производят снарядов в месяц столько, сколько Россия производит за сутки По мнению сенатора, это повод задуматься. В том числе о том, что статистика ВВП не отражает объективную реальность.

Meizu представит сразу три ИИ-новинки уже в мае Компания Meizu объявила о скором выпуске трех новых продуктов, основанных на технологиях искусственного интеллекта.

В связи с разглашением информации о PS5 Pro, Sony пересмотрит политику доступа к новым технологиям Также Sony, скорее всего, начнет внутреннее расследование, чтобы не допустить раскрытие информации в результате сторонних рекламных акций.

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Vivo представила флагманский смартфон X100 Ultra с 200-мегапиксельной камерой Компания Vivo официально представила свой новый флагманский смартфон X100 Ultra, оснащённый мощным процессором Qualcomm Snapdragon 8 Gen 3 и передовой 200-мегапиксельной камерой с перископической оптикой.

Ни у кого сейчас нет ПК, соответствующего этим требованиям. Для локального запуска Copilot компьютеры класса AI PC будут должны иметь NPU мощностью 40 TOPS Мало того, что компании уже сравнивают свои процессоры по производительности блоков NPU, так вскоре этот показатель может стать ещё и ограничивающим фактором в Windows. Intel на мероприятии Intel AI Summit рассказала, что у ИИ Windows Copilot будет требование к производ...

Hisense совместными с Xbox усилиями привнесла в индустрию игр передовой лазерный дисплей Hisense, глобальная корпорация по производству бытовой электроники и техники, объявила о новом партнерстве с Xbox с целью вывести впечатления от домашних игр и развлечений на новый уровень за счет передовой технологии лазерных дисплеев. Партнерство, объединяющее лазерные дис...

Qualcomm готовит к релизу процессор Snapdragon 8s Gen 3 Сегодня появилась информация о том, что компания Qualcomm планирует расширить свой арсенал процессоров для мобильной платформы за счёт чипа Snapdragon 8s Gen 3, который, судя по различным источникам, будет представлен в самое ближайшее время. Более того, в китайских социальн...

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

Samsung первой в мире начала массовое производство чипов V-NAND 9-го поколения емкостью 1 ТБ Samsung разработала самый маленький в мире размер ячейки.

Пятый сезон Call of Duty: Mobile дебютирует на следующей неделе Компания Activision, разработчик мобильных мультиплеерных игр, объявила о скором запуске нового сезона.

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Intel и ASML объявили о запуске первой в мире литографической машины с высокой числовой апертурой Intel стала первой компанией, которая выложила кучу денег на самые передовые машины ASML для производства чипов.

Архитектура AMD RDNA 3.5 придёт, чтобы остаться надолго. Компания будет использовать её минимум до 2027 года Уже в ближайшие месяцы компания AMD представит первые мобильные процессоры с iGPU на основе обновлённой архитектуры RDNA 3.5. Согласно свежим данным, эта архитектура будет использоваться компанией ещё несколько лет.  Инсайдер Golden Pig Upgrade утверждает, что RDN...

Процессор Snapdragon 8 Gen 4 с ядрами Oryon появится осенью 2024 года Компания Qualcomm объявила о сроках появления своего следующего процессора для смартфонов, который, как мы уже знаем, будет оснащен совершенно новыми технологиями, которые должны кардинально изменить производительность наших смартфонов.

Lenovo объявила о выпуске своего нового геймерского планшета Lenovo Legion Tab Компания Lenovo объявила о выпуске своего нового геймерского планшета Lenovo Legion Tab на рынках Европы, Ближнего Востока и Африки (EMEA) и Азии

Intel собирается выпустить линейку массовых ноутбуков с новой серией процессоров Meteor Lake Intel планирует выпустить линейку массовых ноутбуков сначала оснастив их процессорами Meteor Lake Core Ultra 7 и 5, а затем представить ноутбуки с Core Ultra 9 в 2024 году

MSI представили новые ноутбуки серии Prestige 16 AI Компания MSI, лидер в производстве ноутбуков премиум-класса, объявила о выпуске новой серии Prestige 16 AI, что знаменует собой значительный шаг в эпоху технологий искусственного интеллекта.

Microsoft: ноутбуки на Windows с Snapdragon X Elite будут быстрее, чем MacBook Air M3 Новый процессор Snapdragon X Elite от Qualcomm уже совсем скоро начнет работать в ноутбуках. По словам Microsoft, новая платформа будет быстрее, чем M3 MacBook Air.

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Объявлены планы «Автотора» по выпуску китайских машин На текущий момент завод «Автотора» в Калининграде производит автомобили китайских марок BAIC, Kaiyi и SWM. Завод «Автотор» в этом году планирует выпустить от 55 тыс. до 70 тыс. автомобилей. До весны прошлого года на «Автоторе» велась ...

AMD будет поддерживать платформу AM5 новыми продуктами до 2025 года и далее Компания AMD продолжает выпускать новые модели процессоров серии Ryzen 5000 для платформы Socket AM4 и по сей день, а в следующем месяце ожидается выпуск новых процессоров

Li Auto выпустила уже 10 тыс. кроссоверов Li Auto L6 Li Auto наращивает объемы производства новейшего кроссовера Li Auto L6. На рынок машина вышла лишь 18 апреля, поначалу отгрузки исчислялись сотнями, но уже сейчас компания отчиталась о выпуске 10 тыс. машин. В следующем месяце объем выпуска доберется до отметки в 20 тыс...

Redmi K70, который будет мощнее Xiaomi 14 Pro, запущен в массовое производство Ван Тен Томас (Wang Teng Thomas), представитель бренда Redmi и генеральный менеджер отдела маркетинга Redmi, опубликовал в Weibo сообщение, в котором предположил, что смартфоны Redmi K70 вступили в стадию массового производства. Вчера Лу Вейбинг, президент Xiaomi Group,...

Intel представила Core Ultra - процессоры с ИИ-ускорителем и мощной графикой для тонких ноутбуков Компания Intel растянула анонс мобильных процессоров нового поколения — Meteor Lake — на несколько мероприятий, но сегодня нам наконец объявили все характеристики и сроки выхода новинок.

AMD будет производить новые процессоры на заводах Samsung Если верить информации западных инсайдеров, компания AMD намерена использовать технологический процесс от компании Samsung в 4 нм для разработки недорогих APU (система на кристалле, которая состоит из центрального и графического процессоров на одной пластине) нового поколени...

Глобальная версия Nubia Red Magic 9 Pro стоит практически как в Китае Спустя месяц после китайского релиза бренд Nubia объявил о глобальном выходе Red Magic 9 Pro — первого и пока что единственного полноценного игрового смартфона на базе новейшего процессора Snapdragon 8 Gen 3

Германия построит два новых суперкомпьютера, один из которых будет класса Exascale. Оба будут основаны на AMD Instinict MI300A В ближайшие годы Германия получит два новых суперкомпьютера, один из которых предложит производительность свыше 1 ExaFLOPS.  Университет Штутгарта и Hewlett Packard Enterprise объявили о соглашении, в рамках которого в 2025 году будет построен суперкомпьютер Hunte...

Huawei начала продажи своих Watch Fit 3 за пределами Китая по цене $160 Компания Huawei объявила о начале продаж своих новых умных часов Watch Fit 3 за пределами Китая.

Ростех начал выпуск остекления для новых поездов метро Государственная корпорация «Ростех» объявила о запуске производства остекления кабин машиниста для новых поездов метрополитена «Москва — 2024». Технология производства позволяет создавать сложные и современные дизайны.

На CES 2024 объявили приблизительный срок выхода Nintendo Switch 2, но по ошибке Компания Ai Shark, производитель периферийных устройств, которую основал бывший генеральный директор бренда GameShark, производившего устройства с чит-кодами, на технологической выставке CES 2024 объявила дату перезапуска работы возрождаемой компании.

NVIDIA объединилась с Mediatek для создания дебютного ПК-процессора Компании NVIDIA и MediaTek объявили о сотрудничестве по созданию нового процессора для ПК, который станет прямым конкурентом линейки Apple M4.

AMD готовит к релизу линейку чипов Ryzen 8000G Сегодня западные журналисты поделились довольно интересной информацией, согласно которой компания AMD планирует представить свою новейшую линейку чипов APU для настольных компьютеров под брендом Ryzen 8000G. Новые APU (система на кристалле) используют две ключевые технологии...

ТНК Wyeth первой запускает в Китае выпуск молочной смеси 3-го уровня с ОГМ Транснациональная компания Wyeth Nutrition объявила о начале выпуска в Китае первой молочной смеси 3-го уровня illuma с добавлением двух олигосахаридов грудного молока (ОГМ). Этот продукт, предназначенный для детей старше 3-х лет, производится на соответствующем стандарту GM...

Qualcomm выпустит Snapdragon X Elite уже в середине 2024 года Согласно сообщению от главы компании Qualcomm, релиз процессора Snapdragon X Elite состоится в самое ближайшее время. Новый процессор, по словам представителей компании, специально разработан для ноутбуков под управлением Windows и появится на рынке в середине 2024 года. Это...

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

Процессоры AMD Ryzen 7 5700X3D, Ryzen 5 5500X3D, Ryzen 5 5600GT, Ryzen 5 5500GT и Ryzen 7 5700 выпустят в начале 2024 года Примерную дату начала продаж новых процессоров называет авторитетный новостной ресурс Wccftech.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Apple удалось сократить сроки разработки: первый складной флагман с огромным экраном выйдет уже в 2025 году Apple наращивает работу над складными устройствами, о чем заявил аналитика Haitong International Securities Джеффа Пу. В его отчете говорится говорится, что Apple начнет массовое производство 20,3-дюймового складного устройства в конце 2025 года, а в конце 2026 года ком...

Ростех запустил серийное производство модулей управления для беспилотников Госкорпорация "Ростех" объявила о начале серийного производства инновационных модулей управления для беспилотных летательных аппаратов (БПЛА), что является значительным шагом в развитии отечественных технологий в этом направлении. Новые модули повысят эффективность применени...

Инсайдеры объявили апрель месяцем возрождения Lada Granta: возобновится производство машин с «автоматом» и универсалов Инсайдерский паблик Avtograd News сообщил о том, что сегодня на вазовском конвейере собрали первый после долгого перерыва универсал Lada Granta — Lada Granta Cross. «Скоро пойдут в более существенном количестве. Апрель будет месяц возрождения на линии Granta...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

Samsung начнет производство OLED-дисплеев для компании Apple Samsung начинает закупать оборудование, чтобы начать производство OLED-дисплеев для компании Apple.

Появились первые тесты процессора AMD Ryzen 7 7840S Сегодня китайский сайт опубликовал полноценный обзор нового ноутбука Lenovo Yoga Air 14s 2023 Ryzen Edition, оснащённого эксклюзивным процессором AMD Ryzen 7 7840S, который был спроектирован и разработан специально для Lenovo. Этот чип, основанный на архитектуре Zen 4, имеет...

В сети опубликованы фотографии тестовой платформы Intel Beechnut City для процессоров Xeon 6 Процессоры Intel Xeon 6 нового поколения, получившие кодовые названия Granite Rapids и Sierra Forest, готовы всколыхнуть рынок CPU своей передовой архитектурой и возможностями

Знакомство с Loongson 3A6000 Итак, 28 ноября, в Национальном конференц-центре, компания Loongson Zhongke Technology официально представила и начала продажи созданного ранее в этом году (см. https://habr.com/ru/news/753250/ ) процессора Loongson 3A6000. Что же известно сейчас о новом процессоре ? Читать ...

Через два года Seagate начнет выпуск жестких дисков объемом более 40 ТБ Благодаря применению передовых технологий

Южная Корея наращивает производство авиадвигателей для истребителей Компания Hanwha Aerospace начала строительство нового завода по выпуску авиационных двигателей для истребителей KF-21 Boramae и учебных самолетов TA-50 в городе Чханвон. Инвестиции в проект составляют $30 млн, а мощность производства - 300 двигателей в год.

Samsung раскрыла характеристики Exynos 1480 Совсем недавно компания Samsung выпустила смартфон Galaxy A55 — это произошло буквально в начале этого месяца. И, что самое важное, данный смартфон поставляется с процессором Exynos 1480, вот только производитель никаких деталей о данном процессоре не сообщил — просто в хара...

Автомобили-самолеты AirCar начнут производить в Китае KleinVision, словацкая компания, стоящая за AirCar, объявила о знаменательной сделке — продаже лицензии на производство китайской компании. Этот шаг означает значительный скачок на пути к коммерциализации летающих автомобилей.

В России официально выходит недорогой кроссовер — стартовал приём предзаказов на JAC JS3 Компания JAC официально объявила о скором начале продаж нового субкомпактного кроссовера JS3 в России. Принимаются заказы, но цены пока не опубликованы. Как сообщают «Автоновости дня», версию Luxury ранее предлагали на одном из классифайдов за 2 249 000...

Компания EK представила водоблоки для экстремального охлаждения процессоров AMD Новые водоблоки выполнены из акрила и алюминия, подсвечиваются RGB-лентами и полностью совместимы с экосистемой охлаждения EK Velocity2.

Samsung построит в США завод за 44 миллиардов долларов Сегодня появилась новая информация о том, что компания Samsung в ближайшее время получит субсидию в размере 6,6 миллиарда долларов для расширения своего завода по производству чипов в Техасе. Этот шаг необходим производителю для расширения своих производственных мощностей, п...

В Москве запустили производство автопилотов для сельхозтехники Группа компаний «Итэлма» начала массовое производство надежных автопилотов для сельскохозяйственных машин на своем заводе в Москве. Первые автопилоты уже будут установлены на сельхозтехнику и готовы к использованию уже в текущем 2024 году.

DJI готовится представить новый бюджетный дрон Mini 4K Компания DJI объявила о скором выпуске нового дрона DJI Mini 4K, который придет на смену модели Mini 2 SE. Новинка получила электронную 5-осевую стабилизацию и улучшенный 1/2,3-дюймовый сенсор, позволяющий снимать в 4K разрешении, что существенно превосходит возможности его ...

В Великобритании изготовили восьмой прототип новейшего танка Challenger 3 В настоящий момент уже протекают испытания новой техники и уже по их результатам начнётся массовое производство

УАЗ запустит в производство хардкорные версии «Патриота», «Буханки» и «Хантера». В чем их особенность? «Автопоток» со ссылкой на источник на Ульяновском автозаводе сообщил о том, что УАЗ в ближайшей перспективе начнет выпускать экспедиционные версии своих автомобилей. Речь о «Патриоте», «Буханке» и «Хантере». Изображение: ...

Hisense объявил об акции «Скидки, которые выходят ЗА РАМКИ» на праздники  На предстоящий сезон праздников Hisense, глобальная корпорация по производству потребительской электроники и бытовой техники, в начале этого месяца запустила «Скидки, которые выходят ЗА РАМКИ». В сезон праздников Hisense предлагает домашние развлечения наивысшего уровня по о...

Apple начнет производство складных гаджетов уже в следующем году Компания полным ходом готовится выйти на рынок складных устройств.

TSMC заявила, что начнет производство 1-нм чипов к 2030 году Компания также заявила, что к тому времени планирует иметь на одном кристалле 1 триллион транзисторов.

АвтоВАЗ возобновит производство внедорожной версии Lada Granta АвтоВАЗ планирует нарастить объем выпуска автомобилей Lada Granta и вернуть в производственную гамму универсал Granta Cross. Об этом сообщает инсайдерский паблик Avtograd News. Правда, Granta в кузове универсал вернется на конвейер не прямо сейчас. Изображение: Lada &l...

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Новый Hyundai Palisade послужил основой редизайна Creta. Появились первые фото Компания Hyundai тестирует предстоящий фейслифтинг Creta, при этом в сети появились новые шпионские снимки. Источники Autocarindia сообщили, что Hyundai начнет производство рестайлингового Creta на своем заводе в Ченнаи в январе 2024 года. Hyundai Palisade Дизайн обнов...

Инсайдер: разработка Apple Car застопорилась Долгожданный автомобиль Apple Car, похоже, снова столкнулся с проблемами. Известный аналитик Минг-Чи Куо в своем Twitter выразил сомнения в будущем проекта, указав, что без стратегии выхода на автомобильный рынок массовое производство Apple Car в ближайшие несколько лет пред...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Nvidia не против заполучить третьего партнёра для производства своих GPU, и это намёк на Intel Компания Nvidia снова заговорила о возможности производить свои GPU на мощностях Intel. Точнее, напрямую об Intel сейчас никто не заявлял, но подразумевается именно эта компании. Сейчас современные графические процессоры компании производит только TSMC, хотя ранее этим...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Из-за нового процессора Galaxy S25 Ultra, Galaxy Z Fold 7 и Galaxy Z Flip 7 могут подорожать Samsung начнет устанавливать в Galaxy S25 Ultra более дорогие чипсеты, что приведет к росту затрат и снижению прибыли

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Возвращение Трампа может положить конец конфликтам на Ближнем Востоке и в Украине Слова Трампа дают надежду всему миру. Если он выполнит свои обещания, то конфликт между Россией и Украиной и на Ближнем Востоке в скором времени закончится.

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Функции Samsung Galaxy AI будут доступны и на старых флагманах На своей фирменной презентации, которая прошла две недели назад, компания Samsung объявила миру, что она умеет создавать не только качественные аппаратные и программные продукты, но и отлично справляется с реализацией технологий на базе искусственного интеллекта. Например, к...

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Выпуск более половины новых машин был отложен в 2023 году В новом исследовании PwC Consulting выяснилось, что 34% новых автомобилей столкнулись с задержками производства в 2023 году. Еще 21% пострадал от задержек «из-за других факторов», что составляет более половины новых автомобилей, которые, как ожидалось, посту...

Apple начала производить свои смартфоны iPhone 15 в Бразилии В Apple официально не комментировали начало сборки iPhone 15 в регионе, однако в бразильском интернет-магазине компании обнаружились iPhone 15 с идентификатором BR/A

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Новое оружие AMD на ближайшие год или более. Gigabyte подтвердила, что грядущие настольные процессоры будут называться Ryzen 9000 Компания Gigabyte подтвердила, что настольные процессоры Ryzen нового поколения будут называться Ryzen 9000.   Это прямо указано в описании очередного обновления BIOS для некоторых системных плат компании. Когда состоится анонс, неизвестно, но есть мнение, что на ...

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

«Лада Аура», «Лада Искра», «е-Ларгус» и «В+Кросс» — АвтоВАЗ подтвердил планы на два года Максим Соколов, президент «АвтоВАЗа», подтвердил планы «АвтоВАЗа» на ближайшие два года, включая запуск четырех новых моделей, таких как седан бизнес-класса «Лада Аура», массовый легковой автомобиль «Лада Искра», груз...

Никаких «супервидеокарт» GeForce для ноутбуков не будет. Новые адаптеры выйдут уже в рамках следующего поколения в 2025 году Компания Nvidia готовится представить настольные видеокарты GeForce RTX 40 Super и даже остановила массовое производство RTX 4070 Ti и RTX 4080. Похоже, в мобильном сегменте ничего такого нас не ждёт.  Фото: Nvidia Согласно данным Moore's Law Is Dead, Nvidia н...

GeForce RTX 4090 начала дешеветь, а GeForce RTX 4070 Super в США стоит меньше рекомендованной цены Эксперты говорят о тенденции, которая будет развиваться в ближайшие месяцы

Первое изображение нового Volkswagen Golf Mk8.5 – это будет последний Golf с бензиновым мотором Volkswagen выложил видеоролик, посвященный итогам работы в 2023 году. В самом конце видео глава компании Томас Шефер (Thomas Schafer) представил одну из будущих новинок – рестайлинговый Volkswagen Golf. Дизайн не стали светить раньше времени, поэтому изображение ...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Samsung создаёт память UFS 4.0 для работы с ИИ на смартфоне Западные информационные издания сообщают, что компания Samsung довольно усердно работает над новой версией памяти UFS 4.0, оптимизированной для операций в области искусственного интеллекта, хотя подробности пока что никто не может раскрыть по вполне понятным причинам. Корейс...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Новая линейка iPhone 16 от Apple ожидается в начале сентября Новый iPhone 16 от Apple: улучшенный дизайн, больше цветов и ожидаемые обновления программного обеспечения. Готовьтесь к знакомству с передовыми технологиями.

Kioxia начала массовое производство самой емкой в мире карты памяти объемом 2 Тб Новинка получила название Exceria Plus G2 microSDXC 2 Тб. Прототип этой карты памяти производитель показывал осенью 2022 года.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Новый MacBook Pro выйдет в 2025 году В октябре 2023 года компания Apple официально представила свою новую линейку ноутбуков MacBook Pro, включая модели на базе процессоров M3, M3 Pro и M3 Max. Тогда аналитики отметили, что производитель решил ускориться с релизом новых ноутбуков, чтобы иметь улучшенные показате...

Samsung готовит необычную раскладушку для олдов на базе Galaxy Z Fold 5 Если как следует изучить линейку смартфонов Samsung, то становится понятно, что ей тесно самой в себе. Бесчисленное количество линеек, новые модели, а теперь еще и возвращение серии FE — все это современная Samsung. Еще в начале августа технологический мир был потрясен выпу...

Google полностью откажется от «печенек» Компания начнет постепенно отключать пользователей от файлов cookies с начала 2024.

В России начнут собирать машины нового бренда. Авто Haima продавались в России с 2011 по 2016 года, а сейчас сотрудничество переходит на новый уровень «МВЛ Авто» — импортёр и дистрибьютор Haima — планирует наладить производство автомобилей этого китайского бренда в России. «МВЛ авто» подписала соглашение с логистической компанией Fesco, которая обеспечит поставку в Россию и страны С...

Эксперты призывают компании, производящие аккумуляторы, внедрять технологии нового поколения. Аккумуляторы китайского производства на выставке в Мюнхене, Германия.

Huawei выпустила собственный 5-нм процессор В конце лета Huawei представила смартфон Mate 60, который стал первым устройством, оснащенным одним из новых фирменных 7-нм процессоров компании. Kirin 9000S стал первым в линейке чипов, не подпадающих под санкции. Теперь китайский производитель объявил о выпуске нового 5-нм...

Google и Microsoft объединились для развития чистой электроэнергии Корпорации Nucor Corporation, Google и Microsoft Corporation объявили о сотрудничестве в рамках энергетической экосистемы для разработки новых бизнес-моделей и агрегации спроса на передовые технологии чистой энергии. Основное внимание будет уделено развитию первых коммерческ...

Acer выпустила ноутбуки Swift Edge 16 и Swift Go 14 на базе AMD Ryzen 8040 Компания Acer объявила о выпуске двух новых ноутбуков, Swift Edge 16 и Swift Go 14, оснащенных процессорами AMD Ryzen 8040.

Xiaomi раскрыла смартфоны, которые обновятся до HyperOS уже в ближайшие месяцы Накануне Xiaomi представила международную версию Xiaomi 14, включая топовый Ultra, с новейшей оболочкой HyperOS. Но не только эти устройства получат доступ к новой системе!

Ещё один тайваньский вендор откроет завод по производству чипов с ИИ Ещё один тайваньский чипмейкер, компания Powerchip Semiconductor Manufacturing Corp. (PSMC) построит новый завод по производству чипов и микросхем памяти для широкого спектра приложений, включая технологии ИИ. Но для этой компании строительство завода скорее не переход на но...

HUAWEI начнет продавать в России смарт-часы с элементами золота 18 карат за 269 999 рублей Huawei ) на своей презентации стратегии развития носимых устройств в Барселоне объявило о выпуске новых премиальных смарт-часов — HUAWEI WATCH ULTIMATE DESIGN. Это первые часы компании, которые изготовлены с использованием сегментов золота 18 карат. Компания реализовала нов...

TSMC начнёт выпуск тестовых 4-нм чипов на новом предприятии в Аризоне в этом месяце Массовое будет развёрнуто к первой половине следующего года.

Объявлена европейская цена Samsung Galaxy M15 На сайте одного из польских магазинов появилась цена смартфона Samsung Galaxy M15, который был представлен около месяца назад. Конфигурация с 4 ГБ оперативной и 128 ГБ флеш-памяти обойдется в 160 евро. Предполагается, что европейские продажи стартуют в ближайшее время. ...

Новые решения GeForce для ноутбуков и настольных ПК NVIDIA продолжает совершенствовать платформу для геймеров и создателей контента, представив более 160 игровых и Studio ноутбуков GeForce, а также новые графические процессоры и технологии GeForce RTX для настольных ПК и ноутбуков. Компания также представила новый контент с у...

В эпоху бурного развития ИИ память HBM стала новым золотом: SK Hynix сообщила, что все объемы выпуска HBM на 2024 год и на большую часть 2025 года распроданы SK Hynix устами своего генерального директора сообщила о том, что вся объемы памяти HBM, которые будут выпущены в 2024 году, уже распроданы. Более того, распродана и большая часть объема HBM, который произведут в 2025 году. Ранее похожее заявление сделала Micron, но тол...

Стандарт Wi-Fi 7 официально сертифицирован Организация Wi-Fi Alliance, как и ожидалось, официально сертифицировала новый беспроводной стандарт Wi-Fi 7 (IEEE 802.11be) и опубликовала его спецификации. Wi-Fi 7 поддерживает технологию Multi-Link Operation (MLO), которая позволяет устройствам передавать и принимать ...

Samsung стремится обойти Intel в гонке за технологию стеклянных подложек Samsung планирует начать массовое производство продукции с использованием технологии стеклянных подложек в 2026 году, опередив Intel, который ориентируется на 2030 год. Новая технология обещает революцию в производстве полупроводников, обеспечивая более компактные, производи...

Kioxia начала массовое производство карт памяти microSDXC объемом 2 ТБ Карта получила официальное название Kioxia Exceria Plus G2

Samsung представит новую память GDDR7 Если верить инсайдерам, компания Samsung планирует представить свои самые быстрые модули памяти GDDR7 следующего поколения уже в следующем месяце — они будут поставляться с пиковой скоростью до 37 Гбит/сек для графических процессоров следующего поколения. На текущий момент S...

Процессор Qualcomm подружится с дискретными GPU, а Intel и AMD готовят новые мобильные CPU — инсайд Инсайдерские данные раскрывают планы компаний на ближайшие несколько лет.

Новый процессор Ryzen 7 8700G на 30% обходит Ryzen 7 5700G и такой же быстрый, как и Ryzen 7 7700 Первые тесты новых гибридных процессоров AMD начали появляться в сети за несколько дней до начала продаж

Samsung выпустит странного конкурента iPhone 16 и лице недорого складного смартфона начального уровня По данным The Elec, что Samsung хочет сделать новый складной смартфон начального уровня привлекательной альтернативой базовому iPhone 16. Издание со ссылкой на источники в цепочке поставок, утверждает, что Samsung ускорила график производства компонентов своих складных ...

Samsung Galaxy Watch получат дисплей microLED в 2025 году Компания Samsung продолжает делать успехи в области технологии microLED, но на данный момент компания ограничивает использование данных передовых дисплеев своими огромными телевизорами, которые стоят небольшое состояние. Но, по новым слухам, корейский гигант планирует примен...

ASUS представила корпус для ПК ROG Hyperion GR701 Full Tower в белом цвете Компания ASUS объявила о выпуске нового цветового варианта корпуса для персонального компьютера ROG Hyperion GR701, выпустив его в ближайшее время.

Lockheed Martin заключил многомиллионный контракт с Terran Orbital на производство 36 спутников Terran Orbital создаст 36 спутниковых аппаратов для Lockheed Martin, который является стратегическим инвестором и важным клиентом компании. Художественный рендеринг спутника Lockheed Martin Transport Layer Tranche 2 Beta. Источник: Terran Orbital Lockheed Martin с...

Небольшой конкурент Samsung по чипам памяти SK Hynix станет победителем в области искусственного интеллекта — Bloomberg Акции Samsung Electronics растут не так быстро, как акции её конкурента по производству чипов памяти SK Hynix. Как пишет Bloomberg, инвесторы делают ставку на то, что именно последняя станет победителем в области искусственного интеллекта. Акции SK Hynix выросли на 67% ...

Глава Ростеха Чемезов: За пять месяцев производство дрона Supercam масштабировано на порядки Именно массовое внедрение разведывательных БПЛА позволило российской армии кратно увеличить скорость и точность поражения важных военных целей на СВО.

Робопес Spot компании Boston Dynamics наконец-то начал напоминать настоящую собаку Если раньше знаменитый робопес Spot компании Boston Dynamics внешне напоминал своего живого прототипа весьма условно, то его новая версия по кличке «Sparkles» производит уже совсем другое впечатление.

MSI представила новую видеокарту GeForce RTX 4070 Ti SUPER Shadow 3X в новой цветовой гамме Графический процессор выполнен в минималистичном стиле с бронзовой и серой расцветкой.

BYD продала рекордные 301 903 автомобиля в ноябре, при этом продажи гибридов снизились В ноябре BYD продала 301 903 автомобиля на новых источниках энергии (NEV), что на 31% больше, чем в прошлом году, и почти столько же, сколько в октябре. Продажи электромобилей (BEV) выросли до 170 150 единиц, а продажи гибридов (PHEV) снизились на 3,22% до 131 228 по ср...

У Hyundai снова получился хит. Очередь на новейший кроссовер Exter за 7 тыс. долларов растянулась уже на 9 месяцев Hyundai представила кроссовер Exter в Индии в июле текущего года, а сейчас появились данные о заказах, и они впечатляют: уже собрано более 75 тыс. заявок на покупку Exter. А ведь от момента премьеры прошло всего три месяца. Изображение: Hyundai Само собой, производство...

MediaTek анонсировала релиз процессора Dimensity 9400 Процессор Dimensity 9400 станет первым 3-нм чипом компании MediaTek, который, как утверждается, будет использовать второе поколение литографии TSMC, что приведёт к повышению энергоэффективности и другим преимуществам в области производительности. И вчера генеральный директор...

Да, Intel продаёт всего два ядра за 82 доллара. Появились первые тесты процессора Processor 300, который мог бы называться Pentium Компания Intel в начале месяца представила вторую половину линейки процессоров Raptor Lake Refresh. Кроме прочего, там был младший CPU Intel Processor 300. И вот его тест появился в Сети.  Processor 300 — это фактически Pentium, но от этого бренда, как мы зн...

Team Group объявила о скором начале продаж памяти XTREEM ARGB DDR5 со скоростью до 8200 МТ/с Модули оснащены 2-мм тепло-распределителями с подсветкой. Заявлена поддержка профилей Intel XMP 3.0.

Samsung начала начала утаивать тип экрана в своих новых телевизорах Два года назад Samsung буквально оживила рынок телевизоров. Дочерняя компания южнокорейского гиганта, Samsung Display, начала производство новейших матриц QD-OLED — полноценного конкурента и замену устоявшихся на тот момент OLED, или WOLED.

Huawei Pura 70 работает на очень старом чипе Сегодня появилась информация о том, что серия флагманских устройств Pura 70, официально выпущенная компанией Huawei, оснащена новым процессором Kirin 9010, который обеспечивает ряд улучшений по сравнению с предыдущим чипом Kirin 9000S прошлого года. Однако, с точки зрения ли...

Бывший завод Bridgestone перезапустят: обещают новый рисунок протектора и ребрендинг На встрече губернатора Ульяновской области Алексея Русских с руководством компании S8 Capital было объявлено о планах по перезапуску завода по производству шин в индустриальном парке «Заволжье» в 2024 году. Этот завод ранее принадлежал компании Bridgestone. ...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

Dell может представить новый ноутбук XPS-16 с процессором AMD Dell может начать оснащать будущие ноутбуки XPS 16 процессорами AMD. Это будет первый случай с 2009 года, когда Dell выбрала AMD для одного из вариантов этой линейки ноутбуков. Это следует из утечки дорожной карты, в которой компания подробно описывает свои планы в отношении...

Представлен «цыганский» Rolls-Royce Phantom от Ares Modena — первая двухдверная модель линейки за последние несколько лет Итальянский производитель кузовов Ares Modena представил купе Rolls-Royce Phantom, которое будет выпускаться ограниченным тиражом. О новой модели было объявлено в социальных сетях Ares Modena. Прямое сравнение со стандартным Phantom показывает, что купе имеет укороченн...

На Урале запустят два крупных производства на 1,2 тыс рабочих мест В ближайшие два года ожидается запуск новых производств Магнитогорского металлургического комбината. Общая стоимость двух крупных инвестиционных проектов, реализуемых в Челябинской области — 19 млрд руб.

Представитель ГУР Украины Юсов рассказал, что ближайшие месяцы на ЛБС будут непростыми Украинская армия ожидает в начале лета наступление ВС РФ

АвтоВАЗ высказался о возобновлении производства универсалов Lada Vesta SW АвтоВАЗ опроверг информацию о том, что завод приостановил производство универсалов Lada Vesta SW, о чем сообщают «Автоновости дня». Отвечая на вопрос, который автомобильное издание направило на завод, пресс-служба ответила коротко: «Это фейк». Р...

Tenstorrent и MosChip Technologies объявили о сотрудничестве в области RISC-V решений Компании Tenstorrent и MosChip Technologies объявили о сотрудничестве в области разработки передовых RISC-V решений

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Apple скоро представит новые ноутбуки на процессорах М3 В прошлом месяце достаточно известные поставщики секретной информации заявили, что компания Apple уже работает над созданием ноутбуков нового поколения на процессоре M3. Тогда никакой детальной информации у инсайдеров не было, но сегодня она появилась, так как западные журна...

Бывшие российские заводы Hyundai и Nissan возобновили производство Бывшие российские заводы Hyundai и Nissan объявили о перезапуске, теперь они называются «Автомобильный завод АГР» и «Автозавод Санкт-Петербург» соответственно. Согласно информации от «Фонтанки», возобновление работы заводов ...

Разница между Huawei P70 и Huawei P70 Pro хорошо заметна на первых фотографиях защитных чехлов Опубликованные фотографии защитных чехлов для Huawei P70 и Huawei P70 Pro демонстрируют разницу между стандартной и старшей версиями. Источники подтверждают, что серия Huawei P70 будет выпущена в этом месяце, а защитные чехлы сторонних производителей в настоящее время н...

После массовой атаки в 3D-принтерах Anycubic исправили 0-day уязвимость Разработчики компании AnyCubic выпустили новую прошивку для принтеров Kobra 2, исправив уязвимость нулевого дня. В прошлом месяце с помощью этого бага были взломаны устройства по всему миру, и неизвестный хакер предупреждал пользователей, что 3D-принтеры уязвимы для атак.

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Samsung будет производить 3-нм серверные процессоры для неизвестной компании Samsung получила еще один заказ по технологии 3 нм, на этот раз для серверного процессора

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

АвтоВАЗ собрал прототип «Нивы» с совершенно новым мотором Сегодня АвтоВАЗ запустил пилотное производство электрического универсала e-Largus. Во время этого мероприятия глава компании Максим Соколов рассказал об электрификации нынешней линейки. Оказывается, уже есть электрическая «Нива». Изображение: Lada «У ...

Apple возлагает большие надежды на iPhone 16 Pro Официальный дебют новой серии iPhone 16 традиционно состоится в сентябре нынешнего года. В сети появились новые слухи относительно грядущей линейки смартфонов. Как сообщает Росс Янг из Display Supply Chain Consultants, массовое производство дисплейных панелей для новой серии...

Intel выпустит процессоры Meteor Lake для ПК лишь в 2024 году Стоит напомнить, что в 2023 году компания Intel планирует выпустить 14-е поколение процессоров серии Intel Core, но, по совершенно необъяснимым причинам, только для ноутбуков. Аналитики рынка и журналисты пока что не смогли выяснить, почему компания хочет выпускать процессор...

Забудьте об Intel и AMD: для работы новой функции AI Explorer в Windows 24H2 нужна будет только SoC Snapdragon X Elite Уже в ближайшие месяцы на рынки выйдут первые ноутбуки с SoC Snapdragon X Elite, а заодно и с Windows 11 24H2. И похоже, что покупатели таких мобильных ПК получат преимущество над остальными, так как одна из новых важных функций Windows 11 будет работать только на SoC S...

Производство грузовиков на бывшем российском заводе Volvo разгоняется: уже выпускают по 9 машин в сутки В Калуге на заводе АМО (это бывший российский завод Volvo) начали выпускать больше машин: если поначалу производили около 5 машин в сутки, то сейчас объем производства достигает 9 машин в сутки, а в ближайших планах довести объем до 12 машин в сутки. На предприятии раб...

Китайская компания SMIC скоро запустит производство 5-нм чипов. На этот раз по-настоящему Примерно месяц назад мы публиковали статью «Чуда не произошло: "китайский" 5-нм процессор Kirin 9006C выпускала фабрика TSMC». В ней говорилось о том, что на самом деле чип Kirin 9006C, который установила Huawei в своём ноутбуке, произведён не в Китае, его поставила в Поднеб...

AMD добавляет новые процессоры EPYC Milan 3-го поколения и расширяет доступность для массового сегмента

Скорее всего, флагманский камерофон Vivo X100 Ultra не выйдет в ближайшее время Инсайдер Digital Chat Station (DCS) сообщил, что презентация камерофона Vivo X100 Ultra, которая должна была состояться в начале года, отложена.

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

TDK, которая раньше выпускала кассеты, теперь будет производить аккумуляторы для iPhone Японский производитель электронных компонентов TDK будет производить литий-ионные аккумуляторы для iPhone в Индии, о чем пишет Reuters. Apple в последнее время активно переносит производство из Китая в Индию. Компания начала сборку iPhone в стране в 2017 году через Wist...

BNPL, или Как миллениалы заново рассрочку изобрели Идея покупок в рассрочку, мягко говоря, не нова. «В массы» она ушла ещё в середине XIX века, когда компания Singer начала массово производить и продавать достаточно дорогие для обыкновенного человека швейные машинки, а в начале XX века тему развили Генри Форд и General Motor...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Революция на рынке наушников? Представлен ультразвуковой излучатель xMEMS Cypress, который, как обещают, во многом лучше динамических Компания xMEMS Labs представила излучатель для наушников, который отличается от привычных динамических либо излучателей с уравновешенным якорем, которые в народе называют арматурами. Новая разработка представляет собой ультразвуковой твердотельный динамик Cypress, предн...

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

NVIDIA представит новые инновации в области искусственного интеллекта на CES 2024 В преддверии выставки CES, которая пройдет в следующем месяце в Лас-Вегасе, NVIDIA представит свои последние достижения в области искусственного интеллекта, включая генеративный ИИ, и ряд других передовых технологий

GAC хочет выпускать машины в России. Что мешает? Популярный китайский производитель GAC с осторожностью говорит о возможности появления в России завода по выпуску соответствующих автомобилей. Постоянное повышение утилизационного сбора на автомобили, которые ввозятся в Россию, заставляет GAC обсуждать возможность локал...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Человекоподобный робот Apollo начинает работу в компании Mercedes Подобно сотрудничеству BMW с Figure AI, Mercedes Benz объявил о партнерстве со стартапом Apptronik, специализирующимся на человекоподобных роботах. Apollo от Apptronik начнет работать в Mercedes

Характеристики Intel Core i9-14900KS окончательно слили в сеть Сегодня в сети появилась детальная информация о процессоре Intel Core i9-14900KS — ритейлер представил страницу продукта и указал все характеристики нового чипа, который в ближайшее время должен поступить в продажу. Чип Intel Core i9-14900KS будет первым в мире процессором, ...

В России сократится производство Lada Granta, Vesta и Niva. Стратегия АвтоВАЗа на ближайшие годы будет определена на рубеже 2023 и 2024 годов. Президент АвтоВАЗа Максим Соколов заявил в кулуарах форума «Транспорт России», что итоговое производство автомобилей Lada на 2023 году может сократиться на 10%. «Мы выйдем примерно на те плановые показатели, о которых говорили еще год назад, ...

HUAWEI представила новый 5-нм чип Kirin 9006C Компания стремительно набирает обороты в производстве собственных процессоров для мобильных устройств.

Samsung начала производство памяти V-NAND нового поколения Компания Samsung начала производство своей вертикальной NAND (V-NAND) 9-го поколения с трехуровневыми ячейками (TLC) ёмкостью 1 ТБ. Новая память примерно на 50% плотнее и на 10% более энергоэффективна, по сравнению с предшественницей, дебютировавшей в 2022 году. По словам…

В Курской области запустили первое полностью российское производство желатина В России начало свою работу первое предприятие, где производят желатин без использования импортных компонентов или техники

Apple показала комплектацию и дату начала продаж гарнитуры Vision Pro Apple объявила о скором старте продаж гарнитуры смешанной реальности Vision Pro и подтвердила официальную цену устройства

LG открывает завод по производству зарядных устройств для электромобилей Компания LG Electronics (LG) расширяет инфраструктуру решений для зарядки электромобилей (EV), открыв свой первый завод по производству зарядных устройств для электромобилей за пределами Южной Кореи, где в прошлом году компания запустила предприятие для изготовления зарядны...

АвтоВАЗ начал выпускать Lada Vesta без подушек безопасности Как сообщил паблик Avtograd News, АвтоВАЗ с сегодняшнего дня начал выпускать Lada Vesta без подушек безопасности. Связано это с дефицитом этих систем безопасности. «АвтоВАЗ с 11 декабря 2023 года из-за нехватки комплектующих начал выпуск автомобилей Vesta без под...

Samsung и AMD заключили очень крупный для обеих компаний контракт. Samsung будет поставлять AMD новейшую 12-слойную память HBM3e Компания Samsung заключила соглашение с AMD на сумму в 3 млрд долларов. В рамках этого соглашения Samsung поставит AMD новейшую память HBM3e.  Речь о новейшей разработке Samsung в виде 12-слойных стеков HBM3e, массовое производство которых начнётся позже в этом го...

Military Watch Magazine: массовое производство модернизированных Су-57М начнётся с 2025 года Су-57 получит новый двигатель и станет самым быстрым истребителем 5-го поколения

Безлитиевые натриевые аккумуляторы поступают в массовое производство Новые аккумуляторы имеют множество преимуществ. Пока неизвестно, можно ли их эффективно использовать.

Дочерняя компания Toyota, Daihatsu, из-за скандала с фальсификацией остановила все заводы, как минимум, на месяц Дочернее предприятие Toyota, Daihatsu, приостановило работу своих заводов в Японии, как и ожидалось, из-за скандала с фальсификацией данных краш-тестов и другими нарушениями, связанными с безопасностью эксплуатации автомобилей. Как сообщил телеканал NHK, остановлены три...

«Самокат» учредил юрлицо для производства готовой еды Компания продаёт такую под собственной торговой маркой, но производят её партнёры.

Microsoft объявила о глобальном расширении Copilot Pro и предлагает бесплатную пробную версию Microsoft объявляет о глобальном расширении своего передового продукта Copilot Pro, предлагая новым пользователям бесплатную одномесячную пробную версию. Инициатива направлена на демократизацию доступа к инновационным технологиям искусственного интеллекта, подчеркивая роль к...

Google представила две новые нейросети. Вам они гарантированно понравятся Компания Google объявила о скором запуске нейросетей Veo и Gemini 1.5 Pro. Первая может генерировать короткие видеоролики по текстовому описанию, а вторая будет встроена в различные сервисы и станет помогать в работе с ними.

Ноутбук Lenovo ThinkPad P1 (Gen 7) получил память LPCAMM2 Компания Lenovo пополнила ассортимент ноутбуков новыми поколением модели ThinkPad P1, главной особенностью которой стала редкая оперативная память LPCAMM2. Характеристики модуля на 64 ГБ идентичны обычной LPDDR5X-7467, но габариты намного меньше, чем у SO-DIMM. Ноутбук ...

Чен Цзинь представил надежную линейку Motorola на 2024 год Компания Motorola объявила о планах на 2024 год, после задержки в ответ на запуск нового устройства в начале этого года

Стартап K2 Space из Лос-Анджелеса привлёк финансирование в размере $50 млн на разработку «мега-спутников», рассчитанных на сверхтяжёлые ракеты Стартап K2 Space из Лос-Анджелеса привлёк финансирование в размере $50 000 000 для разработки «мега-спутников». Сейчас K2 Space работает над созданием спутников, совместимых с тяжёлыми и сверхтяжёлыми ракетами, которые уже находятся на рынке или планируется ...

На Липецком заводе запустят полноценное производство сельхозтехники Ожидается, что к концу 2025 года в Липецкой области начнет работу полноценное производство сельскохозяйственной техники на территории Липецкого транспортного завода. Этим проектом займется белорусский холдинг «Амкодор», который уже зарегистрировал официальное юридическое лиц...

Какие устройства Surface стоит ожидать этой весной? В ближайшие несколько недель Microsoft анонсирует несколько новых устройств Surface как для потребительского рынка, так и для бизнес-сегмента. Первая презентация состоится уже 21 марта 2024 года, но, похоже, на ней представят далеко не все готовящиеся новинки. В частности, ...

GEEKOM представит новые модели мини-ПК на выставке CES 2024 Китайский бренд неттопов Geekom объявил о том, что привезет на CES 2024 новые продукты. Среди новинок компании будут мини-ПК с процессорами Ryzen 8000 Hawk Point.

Китай вложил 40 миллиардов долларов в импорт оборудования для производства чипов в 2023 году После заявлений о скорых санкциях со стороны США, китайские компании начали активно скупать всё новейшее оборудование

Microsoft заявляет, что ARM-ноутбуки на Windows превзойдут MacBook В следующем месяце на мероприятии в Сиэтле Microsoft представит новые модели Surface на базе процессора Qualcomm Snapdragon X Elite. Microsoft считает, что компьютеры Windows на базе ARM скоро превзойдут по производительности процессоры в MacBook. Сообщения источников…

Сбербанк разработал новый информационно-платежный терминал без картридера Сбербанк в ближайшие месяцы планирует представить новые терминалы без картридера

США выделят миллиарды на производство передовых полупроводников, Intel, Samsung и TSMC Ожидается, что администрация президента Джо Байдена в ближайшие недели выделит миллиарды долларов в виде субсидий ведущим полупроводниковым компаниям, включая Intel, чтобы помочь построить новые заводы в США. Это должно дать толчок производству передовых полупроводников...

GPD обвиняет AMD в срыве сроков поставки гибридных процессоров Ryzen 7000 Компания GPD, известная своими портативными гибридами ноутбука и игровой приставки, испытывает проблемы с поставками своей новой модели Win Max 2. И виновата в этом, согласно заявлению GPD, компания AMD.  GPD говорит, что AMD не может поставить заранее оговоренное...

Инсайды о новой линейке AirPods: другой дизайн, USB-C и шумоподавление По словам Марка Гурмана, Apple намерена существенно обновить линейку AirPods. Сообщается, что поставщики готовятся к массовому производству двух новых моделей AirPods, которое начнется в мае и будет ориентировано на осенний релиз вместе с iPhone 16.

AYANEO FLIP стала первым игровым портативным компьютером с процессором AMD Ryzen 7 8840U Серия портативных игровых устройств AYANEO FLIP, включающая модели с передовыми процессорами AMD Ryzen 7 8840U и уникальной системой охлаждения, открывает новые возможности для геймеров

Intel объявила о ребрендинге процессоров Xeon Первыми получат новые наименования процессоры линейки Sierra Forest, которые теперь будут называться просто Xeon 6

В связи с бредом Статья опубликована по просьбе Дмитрия Щёлокова, её автора. Как я и прогнозировал в своей статье для «Радио Азаттык» (полный текст здесь) написанной в связи с принятием в Казахстане закона, вводящего цензуру в Интернете, летом 2009 года, Google начал священную войну с кита...

Росатом начал производство первой в мире наземной атомной станции малой мощности Росатом, ведущая компания в области атомной энергетики, запустила процесс изготовления деталей для малой атомной станции нового поколения. Машиностроительный дивизион в Санкт-Петербурге приступил к производству разнообразных заготовок для первой в мире наземной атомной станц...

Microsoft готовится представить ИИ-функцию AI Explorer в новых компьютерах Устройства будут оснащены передовыми процессорами Intel Core Ultra или Qualcomm Snapdragon X Elite и OLED-экраном.

Министр обороны Израиля объявил о начале «новой фазы» операции в секторе Газа Вы это, ещё сроки начала озвучьте, и направления удара тоже. Ну, чтобы противник подготовился.

По стопам КАМАЗа: новейший санкционно устойчивый полноприводный автомобиль, собранный из российских и белорусских комплектующих, МОАРЗ-75053, начали выпускать на МОАЗ Серийное производство нового полноприводного самосвала МОАРЗ-75053 начал Могилевский автомобильный завод имени С.М. Кирова (МОАЗ), который входит в структуру БЕЛАЗа. К особенностям машины относятся жесткая рама, полный привод 4х4, грузоподъемность 25 тонн. При этом нови...

Apple работает над 20-дюймовым MacBook со складным экраном Как утверждает аналитик TF Securities Минг-Чи Куо, Apple работает над MacBook со складным экраном. По словам Куо, складной Mac будет иметь 20,3-дюймовый экран и, скорее всего, поступит в массовое производство только в 2027 году. «Недавно я получил много вопросов о том…

Samsung начнет пилотное производство полупроводниковых стеклянных подложек в этом году Samsung готовится начать пилотное производство полупроводников на стеклянных подложках в этом году, конкурируя с Intel и другими компаниями

Немецкие железные дороги готовятся к эре новых скоростных поездов Немецкая железнодорожная компания Deutsche Bahn объявила о начале проекта по созданию нового поколения высокоскоростных поездов, с улучшенным доступом для пассажиров с ограниченными возможностями и повышенной энергоэффективностью

На Build 2024 Microsoft подробно расскажет о Windows on ARM и Windows AI Microsoft опубликовала список запланированных сессий для предстоящей конференции Build 2024, некоторые из которых будут посвящены «следующему поколению Windows on ARM» и «совершенно новой функции Windows AI». Судя по описанию, Microsoft намерена рассказать подробности о про...

Apple начнет массово производить складной Mac Book в 2025 году, а складной iPhone — в 2026 году Если Apple выпустит складной смартфон, она станет последним из крупных производителей мобильных телефонов, выпустившим такое устройство

LG переходит на OLED и прекращает производство ЖК-дисплеев В рамках стратегического решения, компания LG Electronics объявила о прекращении производства ЖК-дисплеев и полном переходе на технологию OLED.

В России начали производство электрокроссовера Evolute i-Sky Компания «Моторинвест» объявила о старте серийного производства на заводе в Липецке электрического кроссовера Evolute i-Sky

В Татарстане начал работу завод по выпуску системных плат Новый завод ICL в Татарстане Российская ICL объявила о начале работы своего нового предприятия в ОЭЗ «Иннополис», расположенной в Татарстане. Данный завод площадью 8000 м² будет заниматься поверхностным монтажом печатных плат, а также сборкой вычислительной техники.

Российские школы и поликлиники оснастят отечественными планшетами Глава Минцифры РФ, Максут Шадаев, объявил на Tadviser Summit о планах начать массовое оснащение российских учителей и врачей планшетами, начиная с 2025 года. Это даст стимул развитию собственного производства в стране, пишет РИА Новости.

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Флагманский смартфон Honor 90: новый дизайн, мощные характеристики и быстрая зарядка В России объявили о начале продажи нового смартфона HONOR 90. Это очередной смартфон компании Huawei, который получил флагманские характеристики.

В России запущен суперкомпьютер «Сергей Годунов»: пока 54,4 Тфлопс, но уже в этом году будет 120,4 Тфлопс В России начал работу новый вычислительный комплекс, получивший название «Сергей Годунов» в честь выдающегося математика. Суперкомпьютер разместили в Институте математики имени С. Л. Соболева Сибирского отделения Российской академии наук (ИМ СО РАН). Задачи ...

Графические процессоры Intel BMG-G21 и G10 Battlemage замечены в отгрузочных декларациях Новая информация свидетельствует о возможном скором анонсе графических процессоров Intel следующего поколения

Разработчики ChatGPT готовят поисковую систему Если верить информации достаточно известного информационного издания Bloomberg, компания OpenAI, разработчик самого популярного в мире чат-бота ChatGPT, планирует в ближайшем будущем официально представить собственный поисковой сервис, который будет напрямую конкурировать с ...

Япония и США займутся совместной разработкой передового ИИ Премьер-министр Японии Фумио Кисида и президент США Джо Байден на саммите в Вашингтоне, который состоится 10 апреля, объявят о совместной работе над передовыми технологиями в области искусственного интеллекта (ИИ) и полупроводников.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Google готовится к экспансии Windows on Arm? Компания наконец-то выпустила Chrome для этой ОС Компания Google решила подготовиться к новому этапу развития ПК процессорами Arm и Windows, выпустив наконец-то браузер Chrome для такой ОС. ПО будет доступно на этой неделе на соответствующих ПК, которые уже есть на рынке. Правда, только на тех, которые основаны на So...

Вместо Mercedes российский завод будет собирать премиальные Chery и Exeed Компания Chery может начать производство своих автомобилей на бывшем заводе Mercedes-Benz в подмосковном Есипово, о чем пишет «Авто.Mail.ru» со ссылкой на два источника, знакомых с ходом переговоров. По данным источников, именно Chery стала главным претенден...

iPhone 17 Pro станет первым смартфоном с 2-нм чипом Ранее в сети уже появлялась информация о том, что TSMC начала работы над 2-нм чипами. Их массовое производство должно начаться в 2025 году. Теперь эти данные подтверждают и другие источники. Более того, они заявляют, что 2-нм чипы станут одной из фишек iPhone 17 Pro и iPhone...

Huawei начнет строительство завода во Франции, несмотря на ограничения в использовании 5G Компания Huawei планирует построить завод для производства оборудования мобильных сетей во Франции.

Huawei начнет строительство завода во Франции, несмотря на ограничения в использовании 5G Компания Huawei планирует построить завод для производства оборудования мобильных сетей во Франции.

Новый процессор Apple M3 для Mac может быть представлен уже скоро Новые устройства Mac могут быть анонсированы в ближайшие дни

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Porsche построила свой двухмиллионный автомобиль в Лейпциге. Что это за машина? Менее чем через две недели после дебюта Porsche Panamera 2024 года у автопроизводителя появился еще один повод для праздника. Со сборочного конвейера в Лейпциге сошел двухмиллионный автомобиль, которым оказался Panamera Turbo E-Hybrid в цвете Madeira Gold Metallic. Он у...

NVIDIA GeForce RTX 3060 в ближайшем будущем должна подешеветь Некоторое время назад появилась информация о том, что производитель хочет заморозить выпуск видеокарты NVIDIA GeForce RTX 3060, чтобы дать пространство новому поколению видеокарт компании. Однако теперь ситуация в корне изменилась и инсайдеры уверены, что видеокарта в ближай...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

NVIDIA представляет новый графический процессор RTX 5880 ADA NVIDIA делает все возможное, чтобы поставлять клиентам передовые GPU, даже несмотря на экспортные ограничения.

Замена Kia Carnival. Дилеры переписали ценники на Hyundai Custo в России Цены на Hyundai Custo в России подросли: в конце февраля одна из московских дилерских сетей предлагала семиместный минивэн Hyundai Custo по цене 5,5 млн рублей. Однако всего за месяц стоимость этой модели выросла на 80 тысяч рублей. Напомним, Custo рассчитан на семь пос...

США вкладывают миллиарды долларов в расширение производства чипов Администрация Байдена заявила в понедельник, что правительство предоставит 1,5 миллиарда долларов компании GlobalFoundries, производящей компьютерные чипы, для расширения ее внутреннего производства в Нью-Йорке и Вермонте. Это часть финансовой поддержки полупроводниковы...

Календарь выхода игр на декабрь 2023 и начала 2024 года Ближайшие 12 месяцев окажутся очень жаркими для поклонников видеоигр — если, конечно, все ожидаемые релизы в очередной раз не перенесут на раздражающий «следующий год».

Спецификации Wi-Fi 7 будут приняты через несколько месяцев Несмотря на то, что на рынке уже есть продукты с поддержкой Wi-Fi 7, официально организация Wi-Fi Alliance ещё даже не утвердила спецификации этого стандарта. Но по новым данным это произойдёт уже в начале следующего года.  создано DALL-E Спецификации будут заверш...

Acer выпустила игровой ноутбук Nitro V 16 на базе новых процессоров AMD Ryzen серии 8040 Компания Acer объявила о выпуске нового игрового ноутбука Nitro V 16 (ANV16-41), предназначенного для казуальных игроков, которым требуется сбалансированное устройство с современными функциями и не только.

В России начали серийно производить материнские платы с российскими процессорами В одном из округов Москвы запустили производство материнских плат с отечественными процессорами

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

АвтоВАЗ расширит производство Lada в другой стране АвтоВАЗ нашел новую базу для расширения производства автомобилей Lada, о чем сообщает РИА «Новости». Компания из Ганы, U2 company limited, получила лицензию на продажу автомобилей LADA и в настоящее время активно ведет переговоры с представителями АвтоВАЗа&n...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

Они могут стать основой для новых Lada. В России официально появятся три новые модели FAW Компания FAW собирается официально начать продавать в России в следующем году три новые модели, подробности о которых раскроют позже. «По нашим прогнозам, доля продукции китайских автопроизводителей на российском рынке продолжит увеличиваться. Автомобили из КНР от...

Представлен игровой ноутбук Gigabyte Aorus 17 2024 Компания Gigabyte Technology представила ноутбуки Gigabyte Aorus 17 2024 и Aorus 15 2024, которые отличаются лишь диагональю экранов – 17 и 15 дюймов соответственно. Новинки оснастили панелями с разрешением QHD, кадровой частотой 240 Гц и технологией Dolby Vision, новыми пр...

Meizu 21x выйдет уже весной этого года Для компании, которая официально отказалась от рынка смартфонов, Meizu даже слишком активно работает над новыми моделями. Например, совсем недавно, в феврале текущего года, был представлен Meizu 21 Pro, а теперь появились слухи о новой модели, которая носит кодовое название ...

Уволенный глава OpenAI Сэм Альтман присоединился к передовой исследовательской группе Microsoft в области ИИ Microsoft нанимает бывшего генерального директора OpenAI Сэма Альтмана и соучредителя Грега Брокмана. Альтмана уволили из OpenAI в пятницу после того, как совет директоров заявил, что «больше не уверен в его способности продолжать руководить OpenAI». После п...

Совершенно новый Haval H9 сертифицирован в Китае. Размеры – практически в точности как у Land Cruiser 300 Haval H9 нового поколения засветился в базе китайского Минпрома: сертификация этого органа дает разрешение на массовое производство автомобиля. Увы, данные Минпрома не изобилуют подробностями, но сейчас хотя бы есть точные габариты и данные о моторах. Размеры нового Ha...

Индонезия запустила серийное производство новейшего танка Tiger Данная техника стала первым современным средним танком, имеющим передовые технологии

Samsung выпустит чипы по 2 нм уже в 2025 году Компании Samsung и TSMC, по информации инсайдеров, начнут массовое производство чипов по технологическому процессу в 2 нм уже в 2025 году. И чтобы получить преимущество, по данным журналистов их Южной Кореи, Samsung рассматривает возможность предоставления потенциальным клие...

Mitsubishi отказалась от сборки Mitsubishi Outlander и Mitsubishi Pajero Sport в России Mitsubishi в своем годовом отчете сообщила о том, что не будет собирать свои внедорожники в России на предприятии «ПСМА Рус» — соответствующее соглашение о контрактном производстве машин с заводом расторгнуто. В качестве компенсации японская компания в...

Meizu никуда не собирается. Компания обещает представить целых три новинки в мае В феврале компания Meizu объявила о своем уходе с рынка смартфонов, чтобы полностью сосредоточиться на искусственном интеллекте и сосредоточиться на разработке устройств с искусственным интеллектом. Вскоре после этого компания выпустила телефон Meizu 21 Pro. Сегодн...

Экран 3,2К 165 Гц, 24-ядерный Core i9-14900HX и GeForce RTX 4070 Laptop в белоснежном корпусе. Lenovo показала «красивый игровой ноутбук» Legion Y9000X нового поколения Lenovo продолжает раскрывать подробности об игровых ноутбуках Legion нового поколения. На этот раз компания показала Legion Y9000X в открытом и закрытом состояниях: ноутбук выполнен в белом цвете под названием Ice White. Компания характеризует новинку коротко и ёмко: &l...

Xiaomi добавит в свои машины ДВС для увеличения запаса хода Компания Xiaomi, по сообщению китайских СМИ, работать над системами увеличения запаса хода (EREV) для электрифицированных моделей. Производитель уже набирает инженеров-разработчиков с соответствующим опытом. Согласно описанию должностей, инженеры будут отвечать за разра...

В Томске начнут производить станции связи 4G и 5G Российская компания «Иртея» запустит производство оборудования для связи форматов 4G и 5G

В Японии проверят Toyota из-за выявленных при производстве двигателей нарушений Компания Toyota Motor объявила о приостановке производства автомобилей серий Land Cruiser 300, пикапа Hilux и еще 8 моделей с дизельными двигателями.

Запасы GeForce RTX 4070 Ti и RTX 4080 будут исчерпаны ещё до конца года GeForce RTX 4090 для Китая больше "не существует". Адаптер удалён с китайского сайта Nvidia, при этом на российском страничка ещё имеется Как известно, Nvidia прекращает массовое производство RTX 4070 Ti и RTX 4080 перед выходом моделей Super. Как сообщается,...

В Хабаровском крае появится передовая инженерная школа При сотрудничестве властей Хабаровского края и крупных промышленных компаний строится новая передовая инженерная школа (ПИШ), нацеленная на развитие горнорудной отрасли. Заместитель председателя правительства региона, Евгений Никонов, объявил о старте этого проекта на Конгре...

TSMC инвестирует $16 млрд в технологию CoWoS для искусственного интеллекта Компания TSMC, крупнейший в мире литейный завод по производству чипов, объявила в пресс-релизе, что инвестирует 16 млрд долларов в расширение нового завода на Тайване.

TSMC получит от США $12 млрд на строительство третьего завода в Аризоне Он будет производить передовые 2-нанометровые чипы.

BMW выпустит 40 новых моделей за 5 лет, включая новые 7 series, X3 и X5. Компания не отказывается от ДВС Компания BMW выпустит на рынок 40 новых моделей в течение следующих пяти лет, о чем было объявлено на дилерской конференции, которая прошла в Лас-Вегасе. Представители BMW показали дилерам концепты будущих электромобилей и заявили, что ее новые аккумуляторные модели сос...

Нужно больше Lada Granta. АвтоВАЗ увеличит производство своей самой популярной модели АвтоВАЗ планирует увеличить производство своей самой массовой и доступной модели, Lada Granta, о чем сообщил инсайдерский паблик Avtograd News в соцсети «ВКонтакте». АВТОВАЗ планирует увеличить выпуск автомобилей LADA GRANTA в последний месяц 2023 года. Для ...

Гигант стейблкоинов Tether ставит на будущее нейротехнологий и вкладывает $200 млн в стартап, занимающийся нейроинтерфейсами Tether Holdings Ltd., эмитент крупнейшего стейблкоина USDT, объявила о масштабной инвестиции в размере $200 миллионов в Blackrock Neurotech, компанию из Солт-Лейк-Сити, специализирующуюся на разработке передовой технологии интерфейса «мозг-компьютер». Инвест...

Водоблок “разгонщиков” EK-Quantum Delta² TEC получил поддержку последних Intel Core Компания EK, производитель решений для охлаждения компьютеров, объявила сегодня о том, что водоблок EK-Quantum Delta² TEC, предназначенный для экстремального охлаждения процессоров, теперь поддерживает новейшие процессоры Intel Core 14-го поколения.

Китай полностью запретил экспорт редкоземельных металлов для производства магнитов Китай ответил на американские санкции очередным ограничением, которое, скорее всего, окажется для оппонентов более ощутимым. КНР ввела запрет не просто на экспорт редкоземельных металлов — процесс начался несколько месяцев назад, — но и любых технологий для производства магн...

Всё готово, Ryzen 9000 можно выпускать. Некоторые системные платы на чипсетах 600-й серии уже поддерживают грядущие процессоры Похоже, запуск процессоров AMD Ryzen нового поколения действительно уже не за горами. Как минимум оказалось, что выпущенные раннее в этом месяце версии BIOS для ряда системных плат уже поддерживают грядущие CPU.  Это касается как минимум плат Asus и MSI на чипсета...

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

Опубликован официальный список смартфонов Oppo, которые получили/получат ColorOS 14 на базе Android 14 в марте Oppo представила график выпуска ColorOS 14 на базе Android 14 в марте. Для индийских пользователей Oppo A78 начнет получать бета-версию ColorOS 14 уже 19 марта. У Oppo уже есть длинный список телефонов, которые либо в настоящее время получают обновление, либо получат ег...

Gmail потребовал от «рассыльщиков» придерживаться новых стандартов Google объявила о ряде изменений, направленных на улучшение процесса отписки пользователей от нежелательных писем в Gmail и установление более строгих стандартов для отправителей массовой электронной почты.

Нигерийский стартап TerraHaptix открывает в Абудже завод по производству дронов Нигерийский стартап TerraHaptix открывает в Абудже завод площадью в 1,4 тысячи кв.метров для производства автономных дронов и намеревается к 2030 году производить 100 000 дронов ежегодно.

В России начали серийно выпускать встраиваемые в стену зарядные станции В пресс-службе торгово-производственного холдинга (ТПХ) «Русклимат» сообщили, что компания «Национальные зарядные системы» запустила массовое производство новой зарядной станции, встроенной в стену, предназначенной для электромобилей. Устройство, разработанное собственными с...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Lenovo выпустила передовой монитор ThinkVision 27 3D В начале этого года на выставке IFA 2023 компания Lenovo продемонстрировала монитор ThinkVision 27 3D.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Сэм Альтман вывел Oklo на публичный рынок с целью решения растущего спроса на энергию для ИИ-систем Oklo, компания по производству передовых ядерных технологий, начала торговаться на Нью-Йоркской фондовой бирже. Ее основал и возглавляет Сэм Альтман, соучредитель и генеральный директор OpenAI. Альтман рассматривает ядерную энергетику как ключ к решению растущих потребностей...

Open AI предоставляет доступ к чат-боту ChatGPT без регистрации Open AI объявила о начале предоставления доступа к своему чат-боту ChatGPT без необходимости в регистрации. Это значительное изменение в политике доступа к этой передовой технологии искусственного интеллекта позволит всем заинтересованным лицам взаимодействовать с чат-ботом,...

Eve запустила первую в мире интеллектуальную розетку с технологией Matter-over-Thread Eve Systems представила новые умные устройства для дома, включая умную розетку Matter-over-Thread, выключатель и коллекцию жалюзи. Устройства поддерживают передовой мониторинг энергопотребления и будут доступны в ближайшем будущем.

В Южной Корее начинается массовое производство новых управляемых ракет Они должны заменить американские TOW, в данный момент находящиеся в распоряжении корейской армии.

Samsung сделает Galaxy S24 самыми умными AI-телефонами на рынке На мероприятии по запуску смартфонов Pixel 8 и Pixel 8 Pro в начале этого месяца Google акцентировала внимание на возможностях искусственного интеллекта, но, судя по новым слухам, ее вскоре затмит компания Samsung в этом вопросе.

AMD представила процессор Ryzen 7 5700 Сегодня компания AMD официально заявила о выходе своего нового процессора Ryzen 7 5700, не имеющего встроенного графического ядра. Стоит напомнить, что первые намёки на релиз процессора AMD Ryzen 7 5700 были замечены ещё в начале этого года — они указывали на то, что готовит...

Это санкции США вызвали катастрофу? За текущий год в Китае закрылось почти 11 000 компаний, связанных с производством чипов: это по 30 компаний в день Когда SMIC удалось выпустить для Huawei 7-нанометровую SoC, можно было бы подумать, что санкции США только укрепляют силу китайских производителей чипов, хотя американцы заявляют, что всё не так просто. Однако данные DigiTimes указывают на то, что на самом деле ситуация...

Настоящая дойная корова AMD. Компания готовит новые-старые процессоры в виде линейки Ryzen 5000XT Компания AMD не собирается забывать о линейке процессоров Ryzen 5000, несмотря на то что в этом году ожидаются Ryzen 8000 (или Ryzen 9000). В Китае компания анонсировала скорый запуск CPU Ryzen 5000XT.  Никаких подробностей компания не раскрыла и не указала конкре...

Новый стилус Apple: скорый старт продаж, интеграция с Find My и не только Снова поползли слухи о скором запуске нового Apple Pencil, который потенциально может быть назван «Apple Pencil 3». Эта новость появилась после предыдущих сообщений, намекающих на новый стилус в коде iOS 17.4.

Samsung представила рекордно ёмкую память HBM3E 12H объёмом 36 ГБ на стек с пропускной способностью 1,28 ТБ/с Компания Samsung объявила о том, что разрабатывает первую в отрасли микросхему памяти HBM3E 12H объёмом 36 ГБ.  Так как это память HBM, корректнее говорить, что это не микросхема, а стек. В данном случае состоящий из 12 слоёв, что в итоге даёт самую большую в отра...

Быки взяли Bitcoin на рога и в ближайшие месяцы могут проломить отметку в $75 000 за 1 ВТС Аналитики ожидают от первой криптовалюты новых рекордов

Rocket Lab переоборудовала бывшуб штаб-квартира Virgin Orbit в центр разработки двигателей 4 октября состоялась церемония открытия центра разработки двигателей Rocket Lab. В здании площадью 13 400 квадратных метров будут производить двигатели Rutherford, используемые на ракете Electron, а также более крупные двигатели Archimedes для ракеты Neutron. Ранее здан...

Acer представила игровые ноутбуки Nitro 14 и Nitro 16 на базе процессоров AMD Ryzen 8040 Компания Acer объявила о выпуске новых игровых ноутбуков Nitro 14 и Nitro 16 на базе процессоров AMD Ryzen 8040 Series с Ryzen AI

«Cамый доступный российский легковой автомобиль на высокотехнологической платформе» Lada Iskra уже задерживается Президент компании АвтоВАЗ Максим Соколов подтвердил смещение сроков начала серийного производства Lada Iskra на заводе в Тольятти. «Ещё новая модель — это автомобиль Lada Iskra. Старт её производства запланирован на самое начало 2025 года и это будет с...

Через несколько лет большинство компьютеров на рынке будет с искусственным интеллектом Искусственный интеллект активно захватывает всё новые рынки. Согласно свежим прогнозам, в ближайшие годы большая часть ПК будет иметь поддержку ИИ.  создано DALL-E Аналитики IDC прогнозируют, что уже к 2027 году 60% всех поставляемых ПК будут поддерживать ИИ на ап...

«Наши люди сядут тогда, когда безопасность будет подтверждена», – российского космонавта не пустят в первый полёт на Starliner По словам исполнительного директора Роскосмоса по пилотируемым программам Сергея Крикалёва, российский космонавт не войдёт в экипаж корабля Starliner при его первом пилотируемом запуске к Международной космический станции (МКС). Как мы уже писали, миссию переносили неск...

Первая в арабском мире АЭС «Барака» выходит на полную мощность раньше запланированного: запущен последний энергоблок Оператор эмиратской атомной электростанции «Барака» сообщил о запуске последнего из четырех энергоблоков, что подтвердила Корпорация по атомной энергии Объединенных Арабских Эмиратов (ОАЭ). Ранее его планировали запустить в 2025 году. «Корпорация по ат...

OpenAI объявила о создании новой мультимодальной модели ИИ GPT-4o OpenAI только что приоткрыл завесу над GPT-4o, новой передовой моделью ИИ, способной понимать и генерировать контент из текста, изображений и аудио.

Тут 32 ГБ HBM2e, 8 ГБ SDRAM, FPGA, два процессора Arm и почти 11 000 срезов DSP. Представлен ускоритель AMD Alveo V80 за 9495 долларов Компания AMD объявила о старте массового производства своего достаточно необычного продукта под названием Alveo V80.  Alveo V80 — это так называемый вычислительный ускоритель. В данном случае ориентированный на высокопроизводительные вычисления для рабочих н...

Российские игровые компьютеры начнут производить в Ивановской области В Шуе и Твери запустят массовую сборку ПК

Праздник для покупателей Lada Granta: новые цвета, 16-клапанный мотор и мультимедийная система EnjoY Pro Завод АвтоВАЗ согласовал производственный план на октябрь этого года, когда долгожданные изменения придут в линейку Lada Granta. Об этом сообщает паблик «Нетипичный АвтоВАЗ» в соцсети «ВКонтакте» "Обогащение" цветовой гаммы и вариантов ...

США «перекрывают кислород»: на фоне микрочиповой войны поставки оборудования Китаю рухнули более чем вдвое Поставки США оборудования для производства чипов Китаю рухнули в конце зимы обвалились в 2,3 раза. Это является антирекордом за последние несколько лет, при этом несколько месяцев до этого поставки были рекордными. Поставки американского оборудования в Китай начались в ...

Спрос на электромобили оказался не таким, как ожидали в Ford. Компания резко сократила масштабы нового завода и численность персонала Компания Ford сообщила, что новый завод по производству аккумуляторов в Маршалле, штат Мичиган, будет значительно меньше, чем было объявлено первоначально. Ранее в этом году Ford объявил о планах строительства BlueOval Battery Park в Мичигане, завод должен был начать пр...

Loongson готовит революцию на рынке серверов Китая с новым 16-ядерным процессором Loongson, китайский производитель процессоров, объявил о завершении разработки серверного процессора 3C6000 с 16 ядрами и 32 потоками, подчеркивая свои амбиции на рынке серверов в Китае.

Венесуэла дала три месяца нефтяным компания покинуть Эссекибо, анонсировав учреждение нового штата В последнее время страна всё чаще начала напоминать о своих претензиях на территорию Гайаны.

Подразделение Toyota надолго остановило производство из-за недавнего скандала Daihatsu Motor Co., дочерняя компания Toyota по производству компактных автомобилей, объявила о приостановке производства по крайней мере до конца января в связи со скандалом, связанным с проверкой безопасности. Поскольку внутренние поставки уже остановлены, компания по-преж...

Седаны умерли? Они составляют уже менее 2,5% от продаж Hyundai Hyundai Motor сообщила, что в феврале по всему миру было продано 314 909 автомобилей, что на 4,1% меньше, чем в прошлом году. Из них 47 653 автомобиля были проданы в Южной Корее, что на 26,7% меньше, чем годом ранее. За рубежом было продано 267 256 автомобилей, рост сос...

Российские инженеры готовят к массовому производству вездеход-амфибию "Тритон" Создана новая амфибия для форсирования водных преград: "Тритон"

Chevrolet Lacetti/Gentra снимут с производства в Узбекистане Компания UzAuto Motors готовится остановить производство модели Chevrolet Lacetti/Gentra, собираемой на заводе GM в Узбекистане с 2003 года. Ожидается, что Lacetti уйдет с конвейера в начале 2024 года. На его месте встанут Onix, Cobalt 2 и новая бюджетная модель от Chev...

В ближайшие месяцы автомобили в России могут подорожать на 10-30% Средняя цена нового авто уже превысила 3 миллиона рублей

Intel и Submer объявили о прорыве в области иммерсионного охлаждения Обе компании заявили, что их новое устройство проложит путь к охлаждению процессоров мощностью 1000 Вт.

В Вотсап появятся чаты с искусственным интеллектом. Когда ждать и что это будет Сейчас уже никого не удивишь тем, что в то или иное приложение вписываются те или иные функции искусственного интеллекта. Вот только использование его в мессенджерах пока не стало массовым. Нет никаких сомнений, что это лишь вопрос времени. И сейчас на отдельной конференции...

GeForce RTX 4070 Laptop, Ryzen 9 8945HS и 240-герцевый экран в 14-дюймовом ноутбуке. Стартовали продажи Razer Blade 14 2024 Представленный в рамках выставки CES в начале текущего месяца ноутбук Razer Blade 14 2024 поступил в продажу в Европе и США. Новинка предложена в двух конфигурациях. Наиболее доступный вариант – с GeForce RTX 4060 Laptop, 16 ГБ оперативной памяти и SSD объемом 1 ...

Windows 11 версии 22H2 будет получать необязательные обновления до июня 2024 года Недавно Microsoft начала автоматически обновлять компьютеры под управлением Windows 11 версии 22H2 до актуальной 23H2, поскольку жизненный цикл версии 22H2 приближается к своему завершению. В то же время компания решила продолжить выпуск необязательных обновлений, не связан...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)