Социальные сети Рунета
Среда, 22 мая 2024

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Сэм Альтман вывел Oklo на публичный рынок с целью решения растущего спроса на энергию для ИИ-систем Oklo, компания по производству передовых ядерных технологий, начала торговаться на Нью-Йоркской фондовой бирже. Ее основал и возглавляет Сэм Альтман, соучредитель и генеральный директор OpenAI. Альтман рассматривает ядерную энергетику как ключ к решению растущих потребностей...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

DALL-E 3 vs Midjourney: два самых совершенных ИИ для рисования картинок сравнили В преддверии Хэллоуина, эксперты решили провести необычное сравнение двух передовых ИИ для создания изображений — DALL-E 3 и Midjourney.

Sharp объявила о планах по прекращению производства больших жидкокристаллических панелей Это решение связано с растущей конкуренцией и падением спроса на крупноформатные ТВ-дисплеи.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

"Аквариус" и Nemifist ускорят выпуск игровых компьютеров в России Российские компании "Аквариус" и Nemifist начнут совместное производство игровых компьютеров, используя передовые технологии и увеличивая объемы производства, что отвечает растущему спросу на мощные игровые системы в России.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Скоростную автодорогу М-12 продлят до Тюмени и переименуют в «Восток» Российскую мегатрассу решили продлить и переименовать

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

SK Hynix инвестирует более 1 миллиарда долларов в расширение производства памяти типа HBM SK Hynix инвестирует более 1 миллиарда долларов в передовую упаковку чипов памяти с целью удовлетворить растущий спрос на память высокой пропускной способности для искусственного интеллекта (ИИ)

Растущая конкуренция среди производителей полупроводников может снизить цены на рынке По мнению представителей SMIC, это может ударить по крупным компаниям как в Китае, так и за рубежом

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Apple продолжает снижать цены на iPhone 15 в Китае, чтобы выдержать конкуренцию с местными компаниями Компания Apple продолжает снижать цены на свои смартфоны iPhone в Китае, чтобы справиться с растущей конкуренцией со стороны местных производителей. В честь 8 марта цены на актуальные смартфоны iPhone во флагманском магазине Apple Store Tmall были снижены...

Передовое оборудование для водорода выиграло главный приз и 1 млн дол. в TERA-Award В Гонконге объявлены результаты третьей премии TERA-Award Smart Energy Innovation Competition, организованной компанией Towngas. Золотую награду и приз в размере 1 млн долларов США получил проект передового оборудования по производству щелочного водорода от китайской исследо...

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Xiaomi: запуск SU7 добавил $7,6 млрд рыночной стоимости и бросает вызов Tesla Запуск Xiaomi SU7 представляет собой важную веху в стратегии диверсификации компании и подчеркивает растущую конкуренцию на мировом рынке электромобилей.

Intel расширил сотрудничество с Пентагоном для разработки передовых микросхем Intel расширяет свое партнерство с Пентагоном, предоставляя доступ к передовым технологиям производства микросхем для национальной безопасности. В рамках программы RAMP-C, компания предоставит образцы микросхем нового поколения, которые могут быть произведены только в Европе...

В России обновили технологию производства оптоволокна Специалисты компании «Швабе», входящей в состав «Ростеха», провели модернизацию оборудования и подобрали идеальные режимы производства оптического волокна, которые обеспечили выпуск продукции с повышенным качеством и спецификациями. Это позволит применять отечественное оптов...

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Micron инвестирует рекордные $125 млрд в новые заводы по производству микросхем в США Байден также выделяет компании $6 млрд субсидий на развитие масштабного инвестпроекта по созданию передовых производств микросхем.

Проверка компании Boeing выявила десятки проблем в производстве Boeing 737 Американское Федеральное управление гражданской авиации (ФАУ) провело проверку производственных линий Boeing, результаты которой выявили, что авиационный гигант мог сознательно игнорировать нормы безопасности производства и тем самым поставить под угрозу жизнь пассажиров.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Японцы объединяются, чтобы противостоять США и Китаю: Toyota, Honda и Nissan буду вместе создавать ПО для машин Три крупнейших японских автопроизводителя - Toyota Motor, Honda Motor и Nissan Motor - объявили о планах начать совместную разработку программного обеспечения для автомобилей. Как сообщила газета Yomiuri Shimbun, компании намерены отказаться от индивидуального создания ...

Huawei разрабатывает инструменты для производства современных чипов Источник: atomic-energy.ru Компании Huawei Technologies удалось начать выпуск современных полупроводниковых компонентов по передовому техпроцессу. В этом помогает китайская организация SiCarrier, которая поставляет соответствующее оборудование. Сейчас обе компании стали со...

Samsung будет выпускать новейшие чипы Quasar, конкурирующие с Nvidia Подразделение Samsung по контрактному производству чипов получило нового заказчика в лице канадского стартапа под названием Tenstorrent, который занимается разработкой чипов с технологиями искусственного интеллекта. Tenstorrent входит в число стартапов, пытается состави...

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

В Казахстане построят новейший завод с передовыми китайскими технологиями: на нём будут собирать по полному циклу машины Kaiyi Китайский бренд Kaiyi подписал соглашение с группой Orbis Auto о сборке автомобилей в Казахстане. Машины будут собирать собирать на новом автомобильном заводе в Алматы, который начнут строить во втором квартале 2024 года. Завод будет соответствовать всем стандартам, на ...

Google Camera – всё? Нет, просто Google переименовала приложение Компания Google переименовала своё фирменное приложение для съёмки, ранее известное как Google Camera для устройств Pixel. Приложение переименовано и в магазине Play Store доступно под названием Pixel Camera.  Компания также обновила описание приложения, подчеркну...

Гендиректор Intel: завод в Германии по производству чипов станет ведущим в мире Генеральный директор Intel, Пэт Гелсингер, во время Всемирного экономического форума в Давосе объявил, что их завод в Магдебурге, в Германии, станет не только самым передовым в Европе по производству чипов, но и займет ведущее место в мире.

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Химикаты начинают обретать особое значение при освоении передовых техпроцессов Исключительно оборудование больше единолично не определяет прогресс.

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

Supermicro расширил производство до 5 000 решений для ИИ, HPC и систем охлаждения Supermicro, Inc., производитель комплексных ИТ-решений для искусственного интеллекта, облачных технологий, систем хранения данных и 5G/Edge, расширяет возможности поставки стоек для искусственного интеллекта и высокопроизводительных вычислений, а также передовые решения для ...

Теперь в Windows 11 это запрещено. Microsoft решила начать войну с приложениями, позволяющими настраивать внешний вид ОС Компания Microsoft решила начать бороться с приложениями, которые позволяют настраивать внешний вид Windows в более широких пределах, чем позволяет сама ОС.  После обновления Windows теперь нельзя запускать такие приложения. Более того, система блокирует обновлени...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Бывшая Facebook* решила бросить вызов Nvidia в производстве ИИ-ускорителей Meta* ставит перед собой амбициозные цели, планируя в этом году установить в своих дата-центрах новый, собственный ИИ-ускоритель. Этот чип второго поколения под кодовым названием «Artemis», призванный уменьшить зависимость от дорогостоящих графических процессоров Nvidia и ко...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Это новый Mitsubishi Endeavor на базе Nissan Pathfinder. Новинка может составить конкуренцию Toyota Highlander и Kia Telluride Mitsubishi рассматривает возможность разработки кроссовера на основе Nissan Pathfinder, о чем сообщает «За рулём». Mitsubishi Endeavor, выпускавшийся с 2003 по 2011 годы, может получить новое поколение после того, как был снят с производства из-за низкой поп...

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Google Bard переименован в Gemini и получает официальное приложение и расширенную подписку Google официально переименовал своего чат-бота Bard AI в Gemini, что соответствует мощной модели большого языка, на которой он построен.

США подаёт на Apple в суд за сохранение монополии на рынке Это дело стало кульминацией растущего недовольства регуляторов по отношению к практикам Apple, которые, по мнению многих, ограничивают конкуренцию и инновации. В частности, иск подчеркивает, что Apple не только контролирует аппаратное обеспечение iPhone, но и экосистему прил...

Нидерланды запретили экспорт оборудования ASML и полупроводников в Китай Нидерланды наложили ограничения на экспорт передовых технологий ASML, ключевого игрока в мировой полупроводниковой промышленности, в Китай. ASML известна своими передовыми системами литографии, имеющими решающее значение для производства новейших микрочипов. Технология ...

Криптовалюта Ripple не растет из-за недовольства среди инвесторов XRP Одна из самых известных криптовалют - XRP - 18 декабря переживает трудный этап, который характеризуется растущим недовольством среди инвесторов. Компания Ripple хочет положительно повлиять на узнаваемость бренда криптовалюты с помощью целевых рекламных акций.

Великобритания присоединилась к европейскому консорциуму по производству чипов Великобритания решила не отставать от ЕС, из которого вышла, в производстве чипов. Для этого британским компаниям нужен допуск к развитой производственной и научной базе.

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

ИИ-процессоры NVIDIA подешевеют в Китае из-за страха перед Huawei В ответ на растущую конкуренцию со стороны Huawei, NVIDIA адаптирует свою стратегию в Китае, предлагая свои новейшие ИИ-процессоры по ценам, сопоставимым с продукцией китайского техногиганта.

Intel и ASML объявили о запуске первой в мире литографической машины с высокой числовой апертурой Intel стала первой компанией, которая выложила кучу денег на самые передовые машины ASML для производства чипов.

TSMC увеличивает производство корпусов CoWoS на 20%, чтобы удовлетворить растущий спрос TSMC увеличит свои мощности по производству корпусов ( CoWoS ) в ответ на стремительно растущий спрос со стороны таких крупных клиентов, как Nvidia, Apple, AMD, Broadcom и Marvell.

Bloomber: Японский миллиардер планирует запустить ИИ-стартап для конкуренции с Nvidia У основателя SoftBank Масаёси Сона большие планы относительно новой компании по производству чипов для искусственного интеллекта. Он готов инвестировать в бизнес $100 млрд.

ЕС рассмотрит инвестиции Microsoft в Mistral AI в рамках регулирования ИИ Европейская комиссия по конкуренции планирует провести анализ инвестиций Microsoft в стартап Mistral AI, тогда как глубокие связи компании с OpenAI также подвергаются регулятивному вниманию.

Ebay удерживает свои позиции на рынке розничной онлайн-торговли Несмотря на растущую конкуренцию со стороны Китая, Ebay может удержать свои позиции на рынке. В последнем квартале торговая платформа смогла увеличить как продажи, так и прибыль.

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Nothing Phone 3 ожидается в июле: первые детали Nothing сейчас работает над смартфоном Nothing Phone 3, оснащенным новым чипсетом Snapdragon 8-й серии. Об этом пишет 91mobiles Hindi со ссылкой на отраслевые источники. Сообщатся, что Nothing Phone 3 будет оснащен чипсетом Snapdragon 8s Gen 3, которые впервые был испол...

США признают острова Кука и Ниуэ независимыми государствами США признали Острова Кука и Ниуэ суверенными государствами. Это решение направлено на укрепление отношений с тихоокеанскими странами в условиях растущей конкуренции с Китаем.

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Tesla построит в Шанхае «гигафабрику» для производства аккумуляторов Компания Tesla провела церемонию подписания соглашения с властями Шанхая о приобретении земельного участка под строительство завода по производству мощных аккумуляторов Megapack.

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Объемы заказов главного мирового производителя оборудования ASML для чипов рухнули почти в 2 раза Вы, вероятно, никогда не слышали о нидерландской компании ASML, но без нее современная технологическая индустрия оказалась бы на грани краха. ASML - это компания, специализирующаяся на производстве литографических машин, или степперов. Эти устройства неотъемлемая часть проце...

Все действия Apple в Евросоюзе обострят конкуренцию компании прежде всего с Google Вступивший в силу закон ЕС о цифровых услугах (Digital Markets Act, DMA), скорее всего, будет способствовать усилению и расширению конкуренции между Apple и Google. Такое мнение выразили обозреватели газеты The Wall Street Journal.

Местные жители разрушили планы TSMC по строительству самого современного 1-нм завода Компания Taiwan Semiconductor Manufacturing Co Ltd (TSMC) заявила, что не будет строить современный завод по производству микросхем в сельской части северного Тайваня после того, как местные жители устроили протест, заявив, что они не хотят переезжать. Компания заявила,...

Китай будет выпускать 5-нм чипы, используя литографическое оборудование американского и голландского производства Крупнейший китайский производитель чипов SMIC, похоже, в последние несколько месяцев начал производить передовые чипы, игнорируя санкции США, призванные замедлить прогресс Пекина. Но на пути Китая к большей самодостаточности в полупроводниковой промышленности все еще су...

TSMC начинает строительство завода для производства 1-нм чипов Завод будет построен в самом Тайване, несмотря на желание США перенести передовые фабрики в Аризону

Новые модели iPad Pro могут столкнуться с проблемами продаж Apple представила новые модели iPad Pro с передовыми технологиями, однако аналитики прогнозируют умеренные объемы продаж из-за высокой цены и конкуренции с более доступным iPad Air.

NVIDIA признала растущую конкуренцию на рынке искусственного интеллекта Последние успехи AMD и Intel на рынке вызывают опасения у NVIDIA, но «зеленая команда» готова дать отпор еще более мощными продуктами, и не только в области аппаратного обеспечения.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

На фоне растущего интереса к искусственному интеллекту акции TSMC начали стремительно расти Компания планирует построить новый завод для производства чипов в Японии

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Google работает над переходом к полностью собственному производству чипов Tensor Google решила полностью перейти на собственное производство чипов Tensor, о чём говорят последние контракты компании с тайваньскими TSMC и King Yuan Electronics Corp. (KYEC).

В Калифорнии решили «усмирить» потенциально мощнейший искусственный интеллект Калифорния, штат, синонимичный технологическим гигантам и передовым инновациям, делает шаг к регулированию искусственного интеллекта. Сенатор штата Скотт Винер представил законопроект, который может определить будущее развития искусственного интеллекта по всей стране, требуя...

NVIDIA сняла с производства самые «народные» видеокарты линейки RTX 40XX В сети появилась информация о не самом приятном решении NVIDIA — компания прекратила производство двух популярных моделей видеокарт. Речь идёт об RTX 4070 Ti и RTX 4080.

Бывшего сотрудника ASML обвиняют в краже секретной информации для Huawei По данным голландской газеты NRC, бывший сотрудник ASML Holding NV, обвиняемый в краже данных у производителя самого современного в мире оборудования для производства микросхем, перешёл на работу в компанию Huawei Technologies Co. В феврале ASML заявила, что бывший сотр...

Самый дешевый смартфон Nothing будет перевыпущенным c новым дизайном Phone (2a) Суббренд компании Nothing, CMF, готовится к запуску своего первого смартфона. Согласно утечке от realMlgmXyysd, новинка будет переименованной версией Nothing Phone (2a).

Samsung представит новую память GDDR7 Если верить инсайдерам, компания Samsung планирует представить свои самые быстрые модули памяти GDDR7 следующего поколения уже в следующем месяце — они будут поставляться с пиковой скоростью до 37 Гбит/сек для графических процессоров следующего поколения. На текущий момент S...

Израильские танкисты в спешке перенимают опыт ВС РФ на СВО и приваривают противодронные решетки Так "самая передовая армия в мире" всё-таки решила взять уроки у второй армии мира

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Microsoft может стать самой дорогой компанией США Все из-за того, что её ближайший конкурент в лице компании Apple, столкнулся с конкуренцией на рынке Китая и общим макроэкономическим давлением, влияющим на потребительские расходы.

Следуя ЕС, Австралия выступает за принятие новых ... Во многих странах цифровые платформы сталкиваются с растущими ограничениями и препятствиями из-за новых законов и нормативных актов. Следуя этой тенденции, Австралия сейчас выступает за принятие новых законов о конкуренции в сфере цифровых платформ.

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Microsoft провела сделку по покупке Activision Blizzard Microsoft получила окончательное одобрение от британского органа по надзору за конкуренцией

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Pure Storage решила преодолеть пределы емкости SSD Несмотря на то, что будущее систем хранения данных кажется светлым благодаря постоянно растущей емкости твердотельных накопителей (SSD), компания Pure Storage предупреждает о потенциальном препятствии: ограничениях DRAM (Dynamic Random-Access Memory). Но у нее есть решение, ...

Российский производитель телевизоров TCL, BBK, BQ, Erisson переносит мощности из Калининграда Российский производитель телевизоров STI Group, следуя примеру компании «Телебалт», принял решение о переносе производства из Калининградской области в Подмосковье. Об этом пишет «Коммерсантъ», который цитирует генерального директора компании Кир...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Бывший российский завод Hyundai переименовали в «Автомобильный завод АГР» Бывший российский автомобильный завод Hyundai Motor в Сестрорецке, который был выкуплен компанией «Арт-Финанс», получил новое название. Как сообщает инсайдерский телеграм-канал «Автопоток», предприятие переименовано в «Автомобильный завод А...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Япония пытается восстановить лидерство в производстве передовых микросхем — EAF Японская чип-индустрия переживает подъем на фоне американских санкций против китайских технологических компаний

Нидерланды разрабатывают план для удержания компании ASML в стране ASML является крупнейшим в мире производителем оборудования для производства пластин и владеет ключевыми технологиями, необходимыми для производства передовых чипов

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Переименованный Hyundai Solaris готов к началу российских продаж: выдано ОТТС на Solaris HS Одобрение типа транспортного средства (ОТТС) выдано и на седан Solaris HS, его получил выкупивший российские заводы Hyundai Motor холдинг AGR Automotive Group. Напомним, под этим названием будет выпускаться автомобиль, ранее известный, как Hyundai Solaris. ранее, напомн...

США мало имеющихся санкций — теперь они хотят запретить ASML даже просто обслуживать имеющиеся в Китае машины для производства полупроводников США продолжают усиливать санкции относительно Китая. И если запрет на продажи GeForce RTX 4090D и ускорителей H20 для самого Китая нельзя назвать гигантской проблемой, то вот усиление давления на ASML — это уже серьёзно.  фото: ASML Reuters сообщает, чт...

Apple ID — всё? Компания думает над ребрендингом Похоже, Apple готовится переименовать один из своих весьма известных брендов. Как сообщается, Apple ID будет переименован в Apple Account.  Пока Apple лишь «экспериментирует» над ребрендингом, но новое имя может быть представлено позже в этом году. Впо...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Ядерный завод Pantex приостановил работу из-за лесных пожаров в Техасе Завод Pantex в Техасе приостановил работу в связи с растущим количеством лесных пожаров в регионе. Об этом компания по производству ядерного оружия сообщила в X.

В 2023 году Fort Telecom увеличил производство уличных коммутаторов на 40% Для удовлетворения растущего спроса компания сфокусировалась на выпуске моделей для крупных инфраструктурных проектов, а также вывела на рынок первый сертифицированный взрывозащищенный коммутатор.

Google полностью отказалась от функции Nearby Share Похоже, что Google решила переименовать достаточно известную функцию Nearby Share в Android и скоро начнёт называть её Quick Share, что вполне логично. Проблема этого гениального решения только в том, что Samsung также использует то же самое название для своей службы быстрог...

Смотри, Samsung, и никакого Full HD у флагманов. Линейка Oppo Find X не получит модели Pro и предложит экраны 1.5K и 2K Компания Oppo готовится представить свою флагманскую линейку Find X7, а в Сети продолжают появляться всё новые подробности.  К примеру, инсайдер Digital Chat Station говорит, что модели X7 Pro вообще не будет, хотя ранее о ней писали активнее всего. Возможно, Oppo...

Как канадские власти стимулируют конкуренцию среди интернет-провайдеров Мы уже рассказывали о проблемах, связанных с доступностью широкополосного интернета в США, и как их пытаются решить с помощью независимых общественных сетей. Такой подход способствует развитию конкуренции среди провайдеров на достаточно монополизированном рынке. Сегодня посм...

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Intel открыла новый завод по производству современной упаковки в Нью-Мексико Fab 9 является частью ранее объявленных инвестиций Intel в размере 3,5 миллиарда долларов в оснащение своих предприятий в Нью-Мексико для производства передовых технологий полупроводниковой упаковки.

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

В Дубае прошла презентация новых продуктов HUAWEI Компания Huawei провела презентацию под слоганом «Создавая красоту», в ходе которой были представлены планшеты HUAWEI MatePad Pro 13,2 дюйма, HUAWEI MatePad Air версии PaperMatte, ноутбук HUAWEI MateBook D 16, а также наушники HUAWEI FreeClip с передовой конструкцией открыто...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Российская компания «Элемент» расширит производство чипов и микросхем Группа компаний «Элемент» объявила о намерении провести первичное размещение акций (IPO) на СПБ Бирже.

Экспериментальная сортировка тернарным деревом Когда-то меня заинтересовал такой вопрос: почему все самые лучшие сортировки, основанные на сравнениях, имеют асимптотику O(N log N). И почему тут логарифм двоичный? Можно ли создать сортировку, у которой асимптотика будет лучше в самом худшем случае? Я решил провести доволь...

Samsung летом начнёт поставки чипов памяти для ИИ из-за усиливающейся конкуренции Южнокорейский вендор Samsung заявил о возобновлении роста производства и налаживания каналов сбыта для своих «самых передовых» чипов памяти HBM3e. На рынке этих микросхем обостряется конкуренция: Samsung выходит на борьбу со своим прямым конкурентом, тоже южнокорейским произ...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Акции Tesla растут, несмотря на сокращение 10% персонала и снижение прибыли в первом квартале на 55% Компания Tesla, принадлежащая Илону Маску, сообщила о существенном падении квартальной прибыли на фоне увольнений и жесткой конкуренции на рынке электромобилей. Несмотря на это, компания планирует ускорить производство более доступных автомобилей, что вызвало рост акций.

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

AMD решила купить ИИ-стартап ради конкуренции с Nvidia AMD предпринимает шаги по расширению своих программных возможностей, приобретая компанию Nod.ai, специализирующуюся на искусственном интеллекте. Этот стратегический шаг является частью усилий AMD, направленных на то, чтобы догнать своего конкурента - компанию Nvidia, которая...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Появилось видео уничтоженной ЗРК "NASAMS", накрытой неназванным боеприпасом вдали от передовой Кажется в последние дни началась самая настоящая охота за передовыми украинскими ЗРК. Обычно такое бывает перед масштабным наземным наступлением

США и Южная Корея представили беспилотный надводный корабль Tenebris Южнокорейская судостроительная компания HD Hyundai Heavy Industries (HD HHI) и американская IT-корпорация Palantir Technologies представили свою новую совместную разработку – концепцию беспилотного надводного корабля (USV) следующего поколения под названием Tenebris. Новинка...

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

Toyota снова на коне: компания зафиксировала рекордные объемы международного производства и продаж В октябре текущего года объемы производства и продаж ведущего японского автоконцерна Toyota достигли рекордных значений, как отмечено в официальном пресс-релизе компании. Производство составило 900 тыс. автомобилей, что на 16,7% превысило аналогичный период прошлого год...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Проект Google по производству геотермального электричества начал подачу электроэнергии к ЦОД Передовой геотермальный проект начал подачу электроэнергии, не содержащей углерода, в энергосистему Невады для питания центров обработки данных компании Google.

Производство оптоволоконных кабелей в РФ упало на 11 % из-за сокращения госзаказов и конкуренции из Китая Но перспективы роста есть.

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

ТОП лучших FDM 3D принтеров для бизнеса и производства 2023 В эпоху инноваций и технологического прогресса 3D-печать стала настоящим прорывом в производственной сфере. Компания LIDER-3D, лидер в области передовых технологий, с гордостью представляет вашему вниманию топ-16 профессиональных 3D принтеров 2023 года. Этот список непременн...

Intel третий год подряд будет продавать одни и те же процессоры? Мобильные Raptor Lake-H переименуют в Core 2xxH, а мобильные Arrow Lake будут называться Core Ultra 2xx Процессоры Intel Arrow Lake для мобильного сегмента будут называться Core Ultra 2xx. Об этом сообщил известный инсайдер Golden Pig Update.  Такие предположения уже высказывались ранее, так как это вполне логично, ведь текущие Meteor Lake относятся к линейке Core U...

Упростить работу с ИИ владельцам видеокарт Radeon. ASRock представила приложение AI QuickSet Компания ASRock решила упростить использование различных инструментов с искусственным интеллектом для владельцев видеокарт Radeon. Для этого компания выпустила приложение AI QuickSet.  ПО фактически помогает установить на ПК с Windows 11 другие приложения. В частн...

Украина переименовала контрнаступ в контрударные действия и пообещала их провести в этом году Правда ВСУ придется использовать только устаревшие болгарские БТР, которые София отправила только что. Больше государств, желающих передать Киеву свои образцы бронетехники в серьёзных количествах, пока не нашлось

Замена программистов роботами неизбежна? В МТС с этим не совсем согласны директор по разработке департамента управления технологиями МТС Александр Демидов в своем сообщении на Хабре рассказал о растущей конкуренции между программистами и нейронными сетями, особенно подчеркивая возможности ChatGPT. Демидов утверждает, что искусственный интеллект н...

Китай и тут пытается обойти санкции США. Компания Naura Technology взялась за литографические инструменты для производства чипов Санкции США не позволяют Китаю получать современные машины ASML для производства полупроводников по самым тонким техпроцессам. Решить этот вопрос быстро Китай не может никак, но он уже вкладывает средства и ресурсы в направления, которые могут помочь ему сделать это в б...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

DNP разрабатывает процесс изготовления фотомасок для 3-нм EUV-литографии Компания Dai Nippon Printing Co. (DNP) успешно разработала процесс производства фотомасок, способных работать с 3-нанометровым (10-9 м) литографическим процессом, который поддерживает экстремальную ультрафиолетовую (EUV) литографию - передовой процесс производства полупровод...

На мебельной фабрике «Мария» готовится к запуску инновационная автоматизированная линия В Саратове на мебельной фабрике «Мария» готовится к запуску автоматизированная линия по производству мебельных каркасов и фасадов. Компания Nanxing обеспечила поставку оборудования и его настройку. До конца года линия должна быть установлена, протестирована и запущена в рабо...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Объёмы производства оптоволоконных кабелей в РФ снизились на 11 % из-за сокращения госзаказов и конкуренции из Китая Но перспективы роста есть.

Цены на жесткие диски взлетели до небес. Вините в этом ИИ Постоянно растущий «аппетит» искусственного интеллекта (ИИ) к данным вызывает переполох в индустрии хранения данных. Компании Seagate и Western Digital, ведущие производители жестких дисков, объявили о повышении цен, ссылаясь на растущий спрос на жесткие диски большой емкост...

Китай прорывает блокаду в битве за чипы? Страна планирует удвоить производство в течение пяти лет Масштабная конкуренция между производителями микросхем усиливается из-за весьма активного наращивания производственных мощностей Китаем. Поднебесная прикладывает серьезные усилия для достижения ведущей роли в индустрии разработки и производства электроники. Потребители от т...

Илон Маск провёл прямую трансляцию по игре Diablo IV Илон Маск, глава компании Tesla, решил порадовать своих поклонников необычным способом.

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

AMD решила сэкономить и планирует выпустить часть видеокарт на устаревшем 4-нм техпроцессе Samsung Ожидать большого прироста производительности не стоит, а главная надежда на снижение стоимости

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Xiaomi обсуждает с Chery совместный выпуск машин Компания Xiaomi, которая решила начать выпуск своих автомобилей, обсуждает этот вопрос с несколькими автопроизводителями, включая Brilliance и Chery, о чем Bloomberg сообщили осведомленные источники. Ранее, в августе этого года, Xiaomi также провела переговоры с Beijing...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Направления миграционных потоков состоятельных людей в 2023 году Состоятельные люди реагируют на изменения политического климата и экономической ситуации в своих странах «ногами», переезжая в другие страны. Это приводит к растущей конкуренции между правительствами за то, чтобы соблазнить эту глобальную экономическую элиту.

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

У Microsoft появится собственный ИИ для конкуренции с GPT-4 и Google Gemini Microsoft разрабатывает новую масштабную модель искусственного интеллекта под названием MAI-1, которая может составить конкуренцию таким известным моделям, как GPT-4 от OpenAI и Google Gemini.

Эксперты сравнили Nintendo Switch и iPhone 15 Pro в портативном и гибридном режимах в играх Лаборатория Digital Foundry решила выяснить, способен ли премиальный смартфон составить конкуренцию игровой консоли на ее поле.

Китай ответит на санкции США самым передовым литографом, работающим на ускорителе частиц. С его помощью Китай сможет создавать 2-нанометровые чипы Как пишет South China Morning Post, Китай изучает новые возможности производства чипов внутри страны. И одной из таких является передовой литограф, работающий на ускорителе частиц. Команда из Университета Цинхуа уже ведет активные переговоры с властями нового района Сюн...

Производство HBM3E Micron со скоростью 1,2 ТБ/с набирает обороты Поскольку спрос на ИИ стремительно растет

Прости, Apple. Рыночная капитализация Microsoft достигла 3,12 триллиона долларов – теперь она, а не Apple, самая дорогая компания в мире Рыночная стоимость Microsoft растет как на дрожжах: всего пару недель назад она достигла отметки в 3 триллиона долларов, и вот уже сейчас стоимость Microsoft составляет 3,12 триллиона долларов. Microsoft стала самой дорогой компанией в мире и побила рекорд стоимости Ap...

Представлен суперфлагман Xiaomi 14 Ultra с топовой камерой и экраном Quad HD Компания Xiaomi анонсировала свой самый передовой смартфон 2024 года.

Россияне берегут свои Hyundai Creta, Skoda Rapid и Volkswagen Tiguan. Владельцы не спешат расставаться с этими машинами Аналитики «Автотеки» провели исследование и выявили самых лояльных владельцев автомобилей в возрасте от 3 до 5 лет. Оказалось, что реже всего со своими авто расставались те, у кого во владении машины марок Kia, Hyundai, Volkswagen, Renault, Toyota и Sko...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Космическое агентство Китая представило ракету последнего поколения Changzheng-8A Китай представил новую ракету Changzheng-8A с увеличенной грузоподъемностью и передовыми технологиями для поддержки своей растущей программы освоения космоса.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

«Азбука вкуса» запустила производство БАДов под своим брендом Ниша частично освободилась после ухода из России iHerb, но конкуренция всё равно высокая, говорят опрошенные «Ъ» эксперты.

Эксперт: передовые технологии — главный «козырь» войск России По мнению полковника в отставке и военного эксперта Анатолия Матвийчука, самое мощное оружие российских вооруженных сил — это комплекс передовых технологий. В интервью «Ленте.ру» он подчеркнул важность передовых военных технологий России, включая воздушно-космические силы и ...

Американская молодёжь уверенно назвала iPhone самым лучшим смартфоном В США инвестиционный банк Piper Sandler провёл опрос, согласно которому iPhone — самый популярный среди молодёжи смартфон. Исследование, проведённое в октябре, — второе на эту тему, первое проводилось в апреле.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Российские ABS и ESP будут не на 100% отечественными. Но в итоге планируется достижение полной локализации ФГУП «НАМИ» планирует запустить производство систем ABS и ESP для автомобилей на бывшем заводе «Роберт Бош Самара», который переименовали «НАМИ инновационные компоненты». Об этом сообщил журналистам гендиректор ФГУП Федор Назаров: &la...

Валентина Матвиенко призвала срочно решить вопрос с растущей финансовой дырой в «Почте России» Вопрос действительно важный.

Из чего состоит и как производится российская система ESP? «За рулем» опубликовал большой репортаж с производства в Костроме Максим Кадаков, главный редактор издания «За рулем», посетил завод компании «Итэлма» в Костроме и рассказал о том, что из себя представляет российская система ESP (она же ESC). Изображение: «За рулем» Система ESP сильно отличается от...

Microsoft разобралась: внезапно переименованные принтеры в Windows 10 и Windows 11 теперь можно переименовать обратно Компания Microsoft наконец-то выпустила инструмент для исправления ошибки Windows, из-за которой принтеры переименовывались в HP M101-M106.  В начале декабря пользователи сообщили о странном сбое Windows, в результате которых все принтеры начали переименовываться ...

Эстония решила построить промышленный комплекс по производству боеприпасов Власти прибалтийской республики решили помочь странам Европы пополнить арсеналы

Дилеры начали спекулировать ценами, за древней машиной выстроилась очередь. Производство Peugeot Pars (Peugeot 405) решили продолжить еще на три месяца Иранская автомобильная компания Khodro Bank объявила о продлении производства культового седана Peugeot Pars. Этот автомобиль представляет собой рестайлинговую версию легендарного Peugeot 405, выпускавшегося в конце 1980-х годов. Решение о возобновлении сборки Pars было...

Переговоры Китай-ЕС: лидеры обсудили конкуренцию между Китаем и ЕС в секторе новых технологий 6 мая Фон дер Ляйен и Макрон провели переговоры с председателем Китая Си Цзиньпинем. Помимо текущих военных конфликтов лидеры обсудили конкуренцию в технологическом секторе между странами.

Nubia Z60 Ultra получит самую передовую широкоугольную камеру Компания рассчитывает сделать это благодаря сенсору размером 1/1,56 дюйма с диафрагмой f/1,8

Nubia Z60 Ultra получит самую передовую широкоугольную камеру Компания рассчитывает сделать это благодаря сенсору размером 1/1,56 дюйма с диафрагмой f/1,8

Чудовищную мощь SoC M3 Ultra придётся подождать. Apple не спешит с выводом новой платформы Компания Apple решила бороться со снижающимися продажами Mac выпуском одновременно трёх новых платформ линейки M3 и новых ПК на их основе. Однако топовую SoC M3 Ultra нам не показали. Судя по всему, в ближайшее время её ждать и не стоит.  Согласно данным журналист...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Поставки голландских литографических систем для изготовления чипов в Китай взлетели в 10 раз за год На фоне текущих ограничений США, которые запрещают китайским фирмам покупать передовое оборудование для производства микросхем у американских компаний, наблюдается сдвиг в сторону других рынков. Импорт систем литографии из Нидерландов в Китай в прошлом месяце увеличился...

Zephyr представила видеокарту Valkyrie RTX 4080 с кулером AIO Интересно, что компания решила выпустить старую версию карты RTX 4080, которая уже снята с производства

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Россия начинает производство ракет средней и малой дальности в ответ на недружественные шаги США С учётом ранее проведённых научно-исследовательских работ и накопленного опыта, процесс производства ракет средней и малой дальности не займёт много времени.

TSMC расширяет производство 3-нм чипов для удовлетворения растущего спроса TSMC планирует увеличить ежемесячное производство 3-нм чипов до 100 000 единиц к середине 2024 года.

Бывшие российские заводы Volkswagen, Nissan и Hyundai хотят объединить в гигантский кластер На базе автозаводов в Санкт-Петербурге, Ленинградской и Калужской областях могут создать Межрегиональный кластер автомобильной промышленности (МКАП), о чем пишет «Известия» со ссылкой на источник в правительстве. Такой план уже разрабатывают Минэкономразвити...

Microsoft переименовала Bing Chat в Copilot Ребрендинг объединит все ИИ-продукты компании под общим названием.

BAIC, CATL и Xiaomi Auto объединили усилия: компании решили заняться выпуском умных аккумуляторных элементов BAIC BluePark New Energy Technology объявила, что для обеспечения стабильных поставок аккумуляторов и поддержки промышленного развития компания планирует создать платформенную компанию в партнерстве с BAIC Investment и Beijing Hainachuan. Уставный капитал платформенной ...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

MediaTek Dimensity 8300 Ultra оказался мощнее более дорогих конкурентов Компания MediaTek делает существенные шаги в разработке своих флагманских процессоров и моделей среднего класса для смартфонов, что не может не радовать, ведь отсутствие конкуренции может погубить рынок в целом. Например, процессорв DImensity 9300 выглядит впечатляющим решен...

Стоимость DRAM и NAND растет из-за сокращения производства в масштабах всей отрасли Прощайте дешевая оперативная память и твердотельные накопители.

«Самый востребованный в России легкий коммерческий автомобиль». АвтоВАЗ выпустит 80 машин Lada Largus до мая На заводе «Лада Ижевск» до конца этой недели соберут опытную партию Lada Largus по полному циклу производства. Как сообщает главный редактор журнала «За рулем» Максим Кадаков, первыми будут пять коммерческих фургонов, а всего до мая будет со...

Марокко как локация для удалённой работы: что делать и сколько стоит жизнь? В этом году мы с семьей решили провести лето в Марокко. Мы провели около месяца в Агадире на юге страны, еще примерно месяц в Рабате, столице, и потом еще немного в Марракеше. В этой статье я расскажу как вы можете приехать работать удалённо из Марокко и сколько это стоит. Ч...

Историческое поражение: Samsung не проигрывала со времён конкуренции с Nokia, а Apple впервые возглавила мировой рынок смартфонов Компания Apple впервые опередила Samsung на мировом рынке смартфонов по итогам 2023 год и возглавила его, по данным исследовательской компании IDC. В последний раз Samsung уступала лидерство в 2010 году, когда треть рынка принадлежала Nokia. В целом, мировой рынок смарт...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Intel выпустила видеокарту за 180 долларов с 256-битной шиной и 8 ГБ памяти. Arc A580 наконец-то выходит на рынок Компания Intel наконец-то выпустила свою видеокарту Arc A580. Первоначально её анонсировали вместе со старшими моделями более года назад, но по каким-то причинам Intel решила задержать выход карты. Как бы то ни было, сегодня у нас есть и официальный повторный анонс, и в...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Илон Маск похоже решился на выпуск бюджетного электрокара Tesla Tesla намерена навязать конкуренцию китайскому BYD: запуск производства недорогого электромобиля планируют через год на заводе в Техасе.

5-нанометровая SoC Kirin 9006C в ноутбуке Huawei более чем вдвое уступает Apple M1. Появились первые результаты тестов Однокристальная система Kirin 9006C, которая легла в основу ноутбука Huawei Qingyun L540, как показывают тесты, имеет достаточно скромную по сегодняшним меркам производительность.  В Geekbench 6 платформа набирает 1229 и 3577 баллов в однопоточном и многопоточном ...

Сбой системы вынудил Volkswagen остановить производство — компании удалось быстро решить проблему Точные причины инцидента не называются.

OpenAI решила делать свои чипы: компании нужны $7 трлн Глава OpenAI Сэм Альтман намерен вложить $5-7 трлн в новый проект компании — производство ИИ-чипов.

Представлен финский смартфон Jolla C2 Компания Jolla провела мероприятие Jolla Day, на котором финская компания представила новый смартфон Jolla C2. Новинка создана в партнёрстве с турецкой компанией Reeder. ОсобенностиПри производстве Jolla C2 за основу был взят ультрабюджетный смартфон Reeder S19 Max Pro…

Раскрыты преимущества для здоровья сна без одежды По данным неофициального опроса, проведённого компанией по производству матрасов Casper, почти 29% людей спят обнажёнными. Но насколько полезна для организма такая практика?

АвтоВАЗ раскрыл ресурс двигателей автомобилей Lada времён Kalina и современных моделей АвтоВАЗ провёл эксперимент, целью которого являлось определение ресурса двигателя автомобилей Lada, о чем пишет ресурс «Лада.Онлайн». На АвтоВАЗе рассказали, что во времена производства семейства Lada Kalina были выбраны три машины для этого эксперимента: &l...

Станки для печати 5-нм чипов Canon стоят в 10 раз меньше машин ASML Компания Canon планирует продавать свое новое оборудование для производства микросхем по гораздо более низкой цене, чем у литографических машин ASML. Новая технология наноимпринтинга (nanoimprint), разработанная токийской компанией, предоставит возможность мелким произв...

Рынок HDD штормит – крупнейший поставщик комплектующих Resonac полностью остановил производство Не исключено, что дело не только в падении продаж, но и конкуренции на рынке

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Qualcomm представила платформу AI Hub Учитывая, что на текущий момент искусственный интеллект стал обычным явлением на почти всех современных смартфонах, Qualcomm решила продвинуться в этом направлении и представила передовую платформу под названием AI Hub. Это поможет разработчикам быстро внедрять функции искус...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Oppo представила новый планшет Pad Air2 Сегодня компания Oppo представила не только смартфоны серии Reno11, но и совершенно новый планшет, которого не ожидали даже инсайдеры. Речь идёт о планшете под названием Pad Air2 — в компании гаджет называют устройством с большим экраном и аккумулятором, но на деле новинка ф...

Huawei решила начать производство собственной памяти HBM Если это удастся, то компания станет независима от различных ограничений и санкций со стороны Запада

Haier закрывает производство бренда Candy в России из-за низкой прибыльности Китайская компания Haier решила закрыть российское юридическое лицо бренда Candy, ООО "Канди С.Н.Г.", из-за его низкой прибыльности

«Даже если завтра меня похитят инопланетяне, Tesla решит проблему беспилотного вождения». Илон Маск уверен в правильности выбранного курса Илон Маск заявил, что Tesla обязательно доработает свою систему беспилотного вождения до полностью рабочего состояния. «Если кто-то не верит, что Tesla собирается решить проблему беспилотного вождения, ему не следует быть инвестором компании», — сказал...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

TSMC строит новые предприятия для удовлетворения растущего спроса на чипы ИИ Тайваньский гигант полупроводниковой отрасли TSMC планирует построить к 2026 году два новых предприятия по упаковке микросхем в уезде Цзяи. Этот шаг направлен на решение проблемы дефицита поставок передовых чипов для искусственного интеллекта, спрос на которые стремительно р...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

В Польше решили блокировать свои дороги – местные фермеры боятся конкуренции со стороны Украины А ведь не прошло три дня, как границу только-только разблокировали

Строительство заводов в США для Samsung оказалось слишком дорогим Samsung, LG и SK переоценивают инвестиции на фоне растущего финансового напряжения Южнокорейские технологические гиганты Samsung, LG и SK столкнулись с суровой реальностью при расширении своего присутствия в США. Растущие расходы на строительство заводов и надвигающаяся пол...

Sharp показала новый тип экранов без подсветки Японская компания Sharp провела за закрытыми дверьми на CES 2024 презентацию совершенно нового типа матриц для производства дисплеев — QDEL

В РФ очень быстро растёт производство танков, боеприпасов, ракет и дронов несмотря на санкции Чем больше западное санкционное давление на Россию - тем больше она производит оружия

Сказ про робота Unitree A1 — Часть 1: Базовые возможности В 2022 году наш Университет - московский ордена Ленина, ордена Октябрьской Революции авиационный институт имени Серго Орджоникидзе, ну или просто МАИ принял участие и победил в конкурсе Приоритет 2030. Мы, как самое передовое в Мире МАИ подразделение приобрели робота собаку ...

SMIC высказывает опасения по поводу усиления конкуренции на китайском рынке Руководство SMIC выражает обеспокоенность по поводу потенциального негативного влияния усиления конкуренции на китайском рынке на цены чипов и прогнозирует замедление роста мирового производства микросхем после 2025 года.

Что касается возможной поставки деталей для новых Solaris: Hyundai «не может комментировать» происходящее на бывшем заводе в России Южнокорейская компания Hyundai Motor дала расплывчатый комментарий на вопрос о том, будет ли она поставлять комплектующие новому владельцу своего бывшего завода в Санкт-Петербурге. «Наша компания не может комментировать деятельность, которая происходит в России, п...

Производители микросхем инвестируют свыше 300 миллиардов долларов на производство в США По прогнозам аналитиков, к 2030 году Штаты будут производить порядка 20% от всех передовых чипов и полупроводников.

Они заменят ваш смартфон: у MediaTek появился новый партнер в производстве AR-очков В рамках сотрудничества компании Meta* и MediaTek намерены открыть новый рубеж в области «умных» очков, выйдя за рамки обыденности и перейдя в сферу дополненной реальности (AR). Объявление, сделанное в ходе саммита MediaTek 2023, раскрывает планы по созданию передовых AR-очк...

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

В США решили изучить особенности энергопотребления майнерами Аналитическое и статистическое подразделение Министерства энергетики США, Управление энергетической информации США (EIA), проведёт опрос майнинговых компаний об особенностях и перспективах «американского» энергопотребления.

Первый в мире деревянный спутник призван решить проблему растущего космического мусора Японский зонд LignoSat, сделанный из древесины магнолии отправится в космос в конце этого года.

Microsoft хочет улучшить процесс производства Surface с помощью ИИ Microsoft в своём блоге подробно рассказала о том, как команды Surface и Azure использовали облачные технологии высокопроизводительных вычислений для революции в процессе проектирования новых устройств линейки Surface. Заявляется, что это позволило уменьшить затраты и сокра...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Гендиректор HTech решил узнать у индийцев, какую версию Honor Magic 6 они хотят Генеральный директор HTech анонсирует запуск Honor Magic 6 Series в Индии, который должен поразить энтузиастов технологий передовыми функциями и дизайном.

Chamlion представит передовые решения стоматологической 3D-печати на выставке IDEX 2024   Chamlion, представит передовые решения стоматологической 3D-печати на выставке IDEX 2024 в Турции. Компания продемонстрирует интегрированные решения для стоматологической 3D-печати металлом с инновационными 3D-принтерами и машинами для плазменной полировки. Посетители...

Введение в 3D сканирование 3D сканирование – это процесс создания трехмерной модели объекта с помощью специальных устройств, называемых 3D сканерами. Этот метод используется в различных отраслях, начиная от медицины и архитектуры, и заканчивая производством и дизайном. Компания Cybercom является одним...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

AMD покажет всю «суперкомпьютерную» мощь в Германии: «Hunter» и «Herder» Сотрудничество AMD с HPC и Штутгартским университетом позволило начать производство двух передовых суперкомпьютеров: «Hunter», запланированный на 2025 год, и «Herder», экзафлопсное чудо, дебют которого намечен на 2027 год.

Обновление неожиданно переименовало все принтеры в Windows 10 и Windows 11: решения пока нет, но Microsoft признала проблему Недавнее обновление, выпущенное для операционных систем Windows 10 и Windows 11 привело к неожиданным последствиям для пользователей. Изначально пользователи начали жаловаться на внезапно появившееся на ПК приложение HP Smart, однако проблема оказалась сложнее, чем каза...

Samsung переориентирует фаундри-бизнес к 2028 году Компанию часто критикуют за чрезмерную зависимость от мобильного сегмента, и корейский вендор решил пересмотреть приоритеты в сфере контрактного производства чипов.

Астрономы предлагают переименовать Магеллановы Облака, чтобы отразить историю и культуру коренных народов Большое Магелланово Облако (БМО) и Малое Магелланово Облако (ММО) - два астрономических объекта названы в честь Фернандо Магеллана - португальского исследователя, чей экипаж первым совершил кругосветное путешествие. Магеллан и его команда провели невероятное путешествие...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Начнет ли OpenAI производство собственных чипов В последний год из-за растущего интереса к искусственному интеллекту спрос на специализированные чипы ИИ резко возрос. Особенно отчетливо это проявилось после запуска ChatGPT в прошлом году.

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

Китай запустил крупный завод с уникальной технологией производства этанола China Daily: компания Shaanxi Yanchang Petroleum Group в сентябре запустит на северо-западе Китая самое масштабное в мире производство этанола из каменного угля.

Intel отказывается от Core i3? В линейке процессоров Arrow Lake не будет моделей Core Ultra, а вместо них будут старые Core i3 Процессоры Intel Arrow Lake не только окончательно похоронят бренд Core i, заменив его на Core Ultra. Оказывается, они ещё и откажутся от моделей Core Ultra 3, которые могли бы называться Core i3.  Инсайдер Golden Pig Upgrade утверждает, что ни в мобильном, ни в н...

Samsung представила передовую память LPDDR5X Несколько лет назад компания Samsung представила память LPDDR5X со скоростью передачи данных в 8,5 Гбит/сек, которая на тот момент была самой быстрой в мире. Но технологический прогресс не стоит на месте, и сегодня компания представила ещё более быструю LPDDR5X. Данная памят...

Азиатские производители электроники нацелились на российский госсектор образования: Hisense планирует локализовать в РФ выпуск интерактивных панелей Hisense, известная своими телевизорами, планирует локализовать производство интерактивных панелей для образовательных учреждений в России. Этот шаг необходим для участия в государственных тендерах в рамках национального проекта «Образование», о чем пишет &la...

[Перевод] C юбилеем, старушка IBM В феврале компании IBM исполняется 100 лет. Именно в 1924-м компания Computing-Tabulous-Recording Co. была переименована в International Business Machines Corp. И это была не просто смена названия, поменялась вся идеология и стратегия ведения бизнеса. В честь векового юбилея...

Intel за счёт перехода на EUV смогла снизить себестоимость производства чипов почти в два раза И передовая литография в дальнейшем будет для неё оставаться важным источником дохода.

Полупроводниковая монополия: как одна голландская компания держит под контролем мировую индустрию микросхем Сегодня основой экономики являются микрочипы. Почти во всех электронных устройствах присутствует по крайней мере один полупроводниковый чип: от автомобилей и мобильных телефонов до стиральных машин и самолётов. Большая часть мирового ВВП производится устройствами, использую...

Дети за весь год провели больше времени в TikTok, чем на YouTube Согласно новому исследованию Qustodio, в 2023 году дети тратили на TikTok в среднем 112 минут в день по сравнению с 107 минутами на YouTube, что свидетельствует о растущей популярности приложения для просмотра видео в коротком формате.

Компания «АСКОН» проведет серию семинаров об импортонезависимом PLM Семинары «День машиностроителя с «АСКОН» посвящены новым возможностям отечественных цифровых решений для проектирования, подготовки производства и управления жизненными циклами изделий.

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Китай закупает передовые чипы Nvidia в обход санкций США Несмотря на расширенные ограничения США на экспорт передовых чипов Nvidia с технологиями ИИ в Китай, десяти китайским компаниям, связанным с правительством, удалось закупить эти чипы, встроенные в серверные продукты, у таких известных поставщиков, как Super Micro Comput...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Индонезия запустила серийное производство новейшего танка Tiger Данная техника стала первым современным средним танком, имеющим передовые технологии

YOFC представила передовые инновации на MWC 2024 в Барселоне   Компания YOFC (Yangtze Optical Fibre and Cable Joint Stock Company), лидер на мировом рынке оптического волокна и кабеля, представила пакет передовых продуктов и решений на Всемирном мобильном конгрессе 2024 в Барселоне (MWC Barcelona). В рамках темы «Объединяя будуще...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Чиновник США: новые санкции «остановят» прогресс Китая в передовых микросхемах По мнению заместителя министра торговли по вопросам промышленности и безопасности США Алана Эстевеса, вводимые страной ограничения на экспорт современного оборудования для производства микросхем для китайских компаний будут препятствовать усилиям страны по развитию собственн...

Honda просит сотрудников раньше выйти на пенсию, чтобы сократить штат в Китае Японский автопроизводитель Honda сообщил в среду, 15 мая, о сокращениях штата, при этом представитель компании заявил, что компания пытается договориться о добровольных уходах. Это решение Honda последовало за чередой неудач для старых японских автомобильных брендов в К...

По слухам, Nvidia готовит собственную портативную консоль для конкуренции со Steam Deck Steam Deck - одна из самых популярных портативных консолей в мире, и это не могло не насторожить одного из конкурентов AMD, компанию Nvidia, которая уже работает над потенциальным конкурентом.

AMD планирует внедрить искусственный интеллект в технологию FSR Таким образом, компания намерена усилить конкуренцию с компанией Nvidia и её DLSS 3.0

Рынок ARM-чипов расширяется, но для Intel это не проблема: мнение генерального директора компании Многие компании уже выпускают, а некоторые — лишь собираются выпускать процессоры на ARM-архитектуре. Среди наиболее коммерчески успешных моделей — чипы от Apple, а также Qualcomm. Также к производителям таких чипов собираются присоединиться Nvidia и AMD. Правда, эти две ком...

Совместная “истребительная программа” Японии, Италии и Британии столкнулась с турбулентностью Программа Global Combat Air Program ставит перед собой задачу поднять в небо истребитель шестого поколения к 2035 году. Однако сегодня этот проект сталкивается с серьезными проблемами в части финансирования, производства и конкуренции

NVIDIA GeForce RTX 3060 в ближайшем будущем должна подешеветь Некоторое время назад появилась информация о том, что производитель хочет заморозить выпуск видеокарты NVIDIA GeForce RTX 3060, чтобы дать пространство новому поколению видеокарт компании. Однако теперь ситуация в корне изменилась и инсайдеры уверены, что видеокарта в ближай...

Samsung представила 27" OLED QuadHD-монитор с частотой обновления 360 Гц по не самой высокой цене Компания Samsung анонсировала новый игровой монитор G60SD на китайском рынке, который предлагает передовые технологии по доступной цене.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Британские ученые создают органический керосин из человеческих отходов жизнедеятельности Вскоре самолеты смогут летать на специальном керосине. Эта технология передового производства биотоплива.

Правительство США готовит «черный список» китайских производителей чипов США работает над списком китайских заводов, которым запрещено использовать передовые инструменты для производства чипов, поскольку Китай продолжает накапливать их запасы.

Машины ASML High-NA EUV Twinscan EXE стоят $380 миллионов, и уже забронировано 10-20 единиц ASML сообщила, что ее передовые инструменты для производства чипов с высоким содержанием NA в ультрафиолетовом диапазоне (EUV), известные как Twinscan EXE с высоким содержанием NA, будут стоить около $380 миллионов каждая

Растущая обеспокоенность США: развертывание китайских разведывательных реактивных БПЛА В США растет озабоченность из-за развертывания Китаем реактивных разведывательных беспилотных летательных аппаратов (БПЛА) и их потенциального влияния на региональную безопасность.

Как США не помогли даже санкции. 7-нм чип SoC Huawei Mate 60 Pro был изготовлен на оборудовании ASML По словам источников, на которых ссылается Bloomberg, китайская компания Semiconductor Manufacturing International Corp. использовала оборудование ASML Holding NV для производства современных однокристальных систем для китайских смартфонов Huawei. Несмотря на американск...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

В России цены на iPhone 15 оказались самыми низкими в мире Уход Apple из страны сделал конкуренцию более свободной.

Почему X-37B считают самым «загадочным» беспилотником США Миниатюрный X-37B завоевал репутацию самого передового и загадочного военно-космического корабля США, который должен быть запущен сегодня 13 декабря.

Huawei провела презентацию новых продуктов в Дубае Компания Huawei провела 12 декабря в Дубае презентацию новых продуктов. На мероприятии были представлены планшеты HUAWEI MatePad Pro 13,2 дюйма и HUAWEI MatePad Air версии PaperMatte, ноутбук HUAWEI MateBook D 16 и наушники HUAWEI FreeClip с передовой конструкцией открытого ...

Немного о сварочных аппаратах в быту обычного человека и зачем оно надо Картинка Vwalakte, Freepik Сварка является одним из уникальных способов соединения металлических деталей. В мировых масштабах объём сварочных работ и получение с их помощью производных изделий растёт быстрее, чем увеличивается производство металла на заводах. Маленький сп...

Эксперты: планы TSMC по расширению производства в США нанесут ущерб промышленности Тайваня Строительство передовых заводов TSMC в США, стимулируемое законом CHIPS, несёт риски для дальнейшего развития полупроводниковой промышленности на Тайване.

Представлен Poco X6 Neo – самый дешевый смартфон в линейке Бренд Poco представил в Индии смартфон Poco X6 Neo 5G. Новинка стала самой доступной моделью данной линейки и должна составит достойную конкуренцию таким смартфонам, как Samsung Galaxy F15 5G, Realme 12 5G, Redmi Note 13 5G и iQOO Z9 5G. ЭкранPoco X6 Neo 5G оснащён…

Статистика путешествий туристов из РФ от МегаФон В 2024 году провести майские праздники за границей решили на 13% больше россиян, чем в прошлом году. Россияне стали больше внимая уделять Азии и странам Африки, а популярность стран СНГ и Южной Америки немного снизилась. Данные предоставлены аналитиками МегаФона на основе об...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

Партнер Xiaomi выпустил золотые варианты Cuktech 10 Power Bank и Cuktech Ultra-thin GaN Charger В прошлом году ZMI, полностью принадлежащая дочерняя компания Xiaomi, была переименована в Cuktech из-за юридических проблем. Для тех, кто не в курсе, эта компания производит аксессуары, продаваемые под брендом Xiaomi.

Компания SK hynix объявила о сотрудничестве с TSMC в производстве HBM следующего поколения Обе компании сосредоточатся на улучшении производительности базовой матрицы, расположенной в самом низу пакета HBM

Китайский квантовый компьютер решил задачу в миллиарды раз быстрее суперкомпьютера Разработанный в Китае квантовый компьютер, известный как JiuZhang 3, совершил удивительный подвиг, решив сложнейшую математическую задачу всего за одну миллионную долю секунды. Это достижение более чем на 20 млрд лет быстрее, чем ту же задачу смог решить самый быстрый в мире...

Компания GameChange Solar открыла в Бразилии фабрику по производству солнечных трекеров GameChange Solar (GCS), ведущий глобальный поставщик передовых экономичных решений солнечных трекеров для устанавливаемых на грунт фотоэнергетических установок, объявил об открытии новой фабрики, центра обучения, обслуживания и технической поддержки исключительно своих солне...

Учёные создали первый в мире функциональный полупроводник из графена. Для него была создана технология получения эпитаксиального графена Чем ближе мир подбирается к техпроцессам около 1 нм, тем активнее говорят о том, что совсем скоро индустрии понадобится замена кремния. И, похоже, учёные создали один новый вариант — графен.  Точнее, сам графен известен уже не первый год, но учёные из Технол...

Starlink проводит успешное военное тестирование в Арктике Спутниковый интернет-сервис компании SpaceX «Starlink» успешно завершил девятимесячное пилотное испытание в условиях Арктики, расчистив тем самым Илону Маску путь к углублению связей с Пентагоном в регионе растущей стратегической конкуренции.

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Нидерланды сделают все, чтобы оставить ASML в стране: миллиардные инвестиции и всесторонняя помощь Привет, Хабр! Недавно мы опубликовали статью «ASML собирается уйти из Нидерландов. Производитель литографов целится на международный уровень». В ней говорилось о том, что производитель самых современных литографов в мире планирует перенести штаб-квартиру из Нидерландов из-з...

Бывший руководитель Google запустил стартап по производству боевых дронов с ИИ Эрик Шмидт давно проявляет интерес к боевым дронам, а теперь решил инвестировать в их разработку средства, заработанные в компании Google.

AMD купила компанию для работы с ИИ Ни для кого не секрет, что современный рынок высоких технологий активно двигается в сторону искусственного интеллекта, так как решения на базе этой технологии позволяют существенно упростить многие технологические процессы. Например, сейчас мало кого можно удивить системой, ...

Людоедский найм в IT и что делать, если хочешь попасть в отдел маркетинга Я Вячеслав и я руковожу маркетингом в ispmanager. Мы растем — за два года я провел 70 собеседований и закрыл 9 вакансий. Заменить пришлось двоих. Мой опыт пригодится, если нужно собрать команду, работающую автономно — без микроменеджмента. Или попасть в такую.Общий принцип н...

В США запустили производство перспективных натрий-ионных батарей, которые со временем могут заменить Li-ion Компания Natron Energy официально запустила на заводе в Мичигане производство батарей натрий-ионного типа, которые должны составить главную конкуренцию нынешним литий-ионным накопителям энергии. Замена будет происходить не быстро, производственные возможности завода оцениваю...

[Перевод] Как проводят оценку качества данных в Airbnb Сегодня, когда объем собираемых компаниями данных растет в геометрической прогрессии, мы понимаем, что больше данных — не всегда лучше. На самом деле слишком большой объем информации, особенно если вы не можете гарантировать ее качество, может помешать компании и замедлить...

США запретят Пентагону закупки аккумуляторов у CATL, BYD и других китайских компаний Американские законодатели запретили Министерству обороны покупать аккумуляторы, произведенные крупнейшими компаниями Китая, расширяя усилия Вашингтона по отделению цепочки поставок Пентагона от его геополитического конкурента. Правило, введенное в действие в рамках посл...

Это будет самый современный iPhone SE относительно старших моделей? iPhone SE4 приписывают дизайн, как у iPhone 16, и вырез Dynamic Island iPhone SE4, возможно, будет выглядеть более современно, чем считалось ранее. Согласно свежим данным, у бюджетника Apple будет вырез Dynamic Island.  Ранее считалось, что новый iPhone SE будет основан на iPhone 14, то есть получит пресловутую чёлку. Инсайдер  ...

Минобороны США ставит на новых производителей твердотопливных ракетных двигателей Министерство обороны США решило направить инвестиции на новых участников рынка твердотопливных ракетных двигателей. Это решение было принято в свете опасений по поводу зависимости от ограниченного числа отечественных поставщиков и стремительного роста спроса на такие дв...

Nvidia за один день подорожала на 250 миллиардов долларов, Дженсен Хуанг теперь на 21 месте богатейших людей в мире Nvidia продемонстрировала крупнейший в истории Уолл-стрит однодневный прирост стоимости компании за все время: за один день рыночная капитализация Nvidia прибавила 250 миллиардов долларов – и превысила отметку в 1,9 триллиона долларов. На фоне сильных квартал...

Chery попросила АвтоВАЗ не афишировать факт партнерства. Новые подробности сборки Chery Tiggo 7 Pro на бывшем заводе Nissan в Санкт-Петербурге Telegram-канал «Автопоток» опубликовал новые подробности о проекте сборки автомобилей Chery Tiggo 7 Pro на бывшем заводе Nissan в Санкт-Петербурге. Оказывается, поначалу Chery отказалась от сотрудничества. «Chery согласилась на партнерство с АвтоВАЗом...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Samsung потратила на мобильные процессоры 7 млрд за год Расходы компании Samsung на процессоров для смартфонов выросли до того уровня, что корейский гигант вынужден идти на компромисс по функциям, предоставляемым в общей линейке смартфонов Galaxy. Аналитики мобильного рынка заявили, что из-за чрезмерной зависимости от внешних ком...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

Автономный программатор SWD При разработке и последующем производстве электроники неизбежно встаёт вопрос проверки собранных изделий. Если компания небольшая, а производство мелкосерийное, то в жертву рутине можно принести какого-нибудь начинающего специалиста, либо самого разработчика. Как правило, тр...

Чего не знают айтишники? Как мы провели IT-кроссворд и нашли ответы на все вопросы Прошел почти месяц, как завершился IT-кроссворд Selectel — онлайн-соревнование для любителей разработки и тестирования, ML и Data Science, сетевых и серверных технологий. В нем приняли участие около 200 человек, из которых более половины — пользователи Хабра. В течение чет...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

МТС переименовала Webinar: компания получила название «МТС Линк» ПАО «МТС» сообщает о завершении ребрендинга своей дочерней компании Webinar Group. Все IT-решения для делового общения, ранее входящие в Webinar Group, будут объединены под UCaaS-брендом «МТС Линк». Ребрендинг стал отражением новой бизнес-стратегии и перехода к платформе уни...

Доуправлялся: Илон Маск заплатил за X/Twitter $44 млрд, а сегодня компания стоит от силы $19 млрд Прошел год с момента покупки Twitter Илоном Маском за 44 миллиардов долларов. Теперь мы знаем, сколько, по мнению самого Маска, стоит сегодня социальная сеть: 19 миллиардов долларов. Согласно внутренним документам, с которыми ознакомилось издание The Verge, сегодня стои...

Амбициозный план: Google решила создать «карту вашей жизни» с помощью ИИ Проект Google «Ellmann» - это новая разработка компании, цель которого - использовать передовой искусственный интеллект Gemini для создания всеобъемлющей картины жизни пользователей путем обработки различных данных, включая изображения, видео и аудио.

Производитель Mercedes G-Class поможет Volkswagen создать новейшие внедорожники Scout для конкуренции Ford Bronco и Jeep Wrangler Volkswagen обратился к Magna Steyr, австрийскому автопроизводителю и инжиниринговой компании, за помощью в разработке прочных автомобилей для своего возрожденного американского бренда Scout. Местная газета Kleine Zeitung сообщает, что стоимость сделки составляет почти п...

Micron добилась дополнительной 4% экономии энергии для передовой памяти LPDDR5x Оптимизация производства памяти LPDDR5x позволила Micron дополнительно сэкономить 4% энергии, что эквивалентно 1 часу дополнительного времени автономной работы смартфона.

Появились подробности об ожидаемом смартфоне Samsung Galaxy M15 Если верить надежным источникам, то компания Samsung работает над смартфоном Galaxy M15, который окажется переименованным Galaxy A15.

Rivian демонстрирует два новых электрических внедорожника Производитель электромобилей представил два новых доступных внедорожника, которые составят конкуренцию самой продаваемой модели Tesla Y.

Это будет самый современный iPhone SE относительно старших моделей Новый iPhone SE4 может получить черты дизайна от iPhone 16, включая Dynamic Island, и составить конкуренцию базовому iPhone 16.

Qualcomm представила чип для ноутбуков Snapdragon X Plus с самым быстрым NPU В октябре Qualcomm представила чип Snapdragon X Elite, который нацелен на конкуренцию с Apple Silicon, а сегодня она показала еще одно предложение из линейки Snapdragon X — чип Snapdragon X Plus.

«Ростех» рассказал о создании нового противодронового комплекса Инженеры включенного в структуру «Ростеха» НПО «Квант» завершили разработку передового комплекса «Гроза.04.К», ключевой задачей которого является противодействие дронам формата FPV. Массовое производство системы уже запущено.

Матвиенко: «Финансовая дыра в «Почте России» растёт и растёт. Надо срочно исправлять ситуацию» Спикер Совета Федерации Валентина Матвиенко призвала провести тщательный анализ положения «Почты России» из-за растущей финансовой дыры в компании. Финансовая дыра в "Почте России" растёт и растёт. Никто не реагирует, и мы голову прячем в песок, ду...

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

Как прошёл Selectel Day Off 2023 В этом году компания Selectel решила продлить летние каникулы и в первое воскресенье сентября провела бесплатный IT-фестиваль с соответствующей атмосферой.В статье описывается, что было на фестивале и какие впечатления он оставил у меня и моей подруги. Я также сделал 3х-мину...

В офисе компании Сирена-Трэвэл проведены обыски в связи с хакерскими атаками на IT-инфраструктуру Правоохранительные органы провели обыски в офисе компании "Сирена-Трэвэл", разработчика системы бронирования Leonardo, в связи с хакерскими атаками на IT-инфраструктуру.

Huawei вкладывает миллиарды, чтобы обойти санкции США. Компания строит гигантский центр НИОКР в Китае Компания Huawei строит гигантский центр исследований и разработок недалеко от Шанхая, где планирует разрабатывать инструменты для производства чипов. Это поможет компании конкурировать с системами, разработанными ASML, Canon и Nikon. Точнее, избавиться от зависимости от...

Федеральная торговая комиссия США (FTC) изучает партнёрство Microsoft и OpenAI Агентство Bloomberg со ссылкой на собственные источники сообщает, что Федеральная торговая комиссия США (FTC) изучает финансовое партнёрство между Microsoft и OpenAI на предмет нарушения антимонопольного законодательства. Отмечается, что речь идёт о предварительной проверке...

Samsung выпустит странного конкурента iPhone 16 и лице недорого складного смартфона начального уровня По данным The Elec, что Samsung хочет сделать новый складной смартфон начального уровня привлекательной альтернативой базовому iPhone 16. Издание со ссылкой на источники в цепочке поставок, утверждает, что Samsung ускорила график производства компонентов своих складных ...

TSMC и Synopsys запускают в производство передовую платформу вычислительной литографии NVIDIA TSMC и Synopsys объявляют о запуске в производство платформы вычислительной литографии NVIDIA, которая будет ускорять производство и расширять границы физики для следующего поколения полупроводниковых чипов

Самая современная 3-нанометровая SoC Apple A17 Pro оказалась дешевле, чем Snapdragon 8 Gen 2 Несмотря на самый современный техпроцесс 3 нм, однокристальная система Apple A17 Pro, как сообщается, стоит меньше, чем Snapdragon 8 Gen 2.  По оценкам Nikkei, каждая SoC A17 Pro обходится в 130 долларов. Это дороже, чем A16 Bionic с её 110 долларами, но Snapdrago...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Kia собирается первой выпускать машины, которые смогут ехать вбок. Технология Hyundai e-Corner почти готова Компания Hyundai Mobis представила на выставке CES автомобиль Mobion, о котором мы уже сообщали. Он оснащён системой e-Corner нового поколения, которая позволяет всем четырём колёсам поворачиваться независимо на угол более 90 градусов. Самым важным является то, что техн...

К выпуску готовится бюджетный смартфон Redmi 13C На днях появилась информация о том, что компания Xiaomi ведет разработку смартфона Redmi 13C, который окажется переименованной версией Poco C65.

В СПбПУ создали передовую технологию производства филамента Изображение: нейросеть DALL-E В лаборатории по полимерным композитам СПбПУ впервые в стране разработали передовую технологию выпуска филамента — это материал для выполнения 3D-печати, в основе которого лежат непрерывные углеродные волокна и термопласты.

Google представила Lumiere – передовой ИИ для создания видео Google представила Lumiere, передовую модель для создания видео с помощью искусственного интеллекта. Lumiere отличается своей способностью создавать реалистичные или сюрреалистичные видеоклипы продолжительностью до пяти секунд каждый.

Shanghai Electric представил новые решения на Всемирном саммите по энергетике будущего 2024 в Абу-Даби Shanghai Electric Power Generation Group, ключевая дочерняя компания Shanghai Electric, специализирующаяся на производстве энергетического оборудования, проектировании и обслуживании электростанций, продемонстрировала свое передовое энергетическое оборудование и решения для ...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

В России появились первые кроссоверы Changan Uni-S: живые фото Опубликовано первое живое фото кроссовера Changan Uni-S для России: эта модель станет «младшим братом» модели Uni-K. Uni-S засняли в Челябинске. На самом деле новое в Changan Uni-S — только название, потому что Uni-S — это переименованный Chang...

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Tsingke продемонстрировала передовые решения в области биопрепаратов на выставке Biologics 2024 В Лондоне завершилась выставка Biologics 2024, в которой приняли участие 650 фармацевтических, биотехнологических и академических представителей и более 50 экспонентов, участвующих в дискуссиях на высоком уровне о последних инновациях в области открытия и разработки биопрепа...

HONOR Choice знаменит недорогими и крутыми TWS-наушниками. Но есть у него модели даже интереснее Что такое устройства HONOR, вам объяснять, думаю, не надо — это один из самых известных китайских производителей смартфонов, ноутбуков и другой компьютерной техники. А HONOR Choice — это… не собственноручно сделанные самим HONOR устройства, а подобранные (и иногда профинанси...

Poco F6 получит SoC Snapdragon 8s Gen 3 и Профильное издание Android Headlines поделилось подробностями о смартфоне Poco F6, который еще не был представлен официально. Утверждается, что аппарат получит суббфлагманскую 4-нанометровую платформу Qualcomm Snapdragon 8s Gen 3, OLED-экран производства TCLD или Tianma, тыл...

Нужно намного больше заводов по производству чипов для ИИ. Глава OpenAI ищет инвестиции для новых фабрик Несмотря на то, что искусственный интеллект в виде ChatGPT, других больших языковых моделей и прочих подобных вариантов на рынке фактически лишь год, уже сейчас дефицит ускорителей для ИИ таков, что очередь у Nvidia растянулась минимум на год. Ситуация явно будет лишь у...

В Узбекистане начнут выпускать Hyundai Elantra, Tucson, Sonata и Santa Fe После того, как в России закрылся завод Hyundai, концерн Hyundai-Kia начал наращивать производство машин в сопредельных странах: и Hyundai, и Kia активно собирают в Казахстане, а сейчас стало известно о том, что сборку едва ли не всего модельного ряда Hyundai налад...

Высокопрочные сплавы в аддитивном производстве В мире 3д-печати произошёл передовой шаг в области печати высокоэнтропийных сплавов (HEAs). Высокоэнтропийные сплавы состоят из равных или близких молекулярных долей пяти или более компонентов, это обеспечивает высокую прочность, твёрдость и устойчивость к износу.Традиционны...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Как мы в MTS AI собрали команду исследователей меньше, чем за год Привет, я Марина, HR-бизнес-партнер в MTS AI. Вот уже несколько лет я занимаюсь подбором сотрудников на вакансии, связанные с ML.  Мы стремимся находить самых крутых спецов и, конечно, с каждым годом конкуренция за них растет. И это неудивительно: сфера искусственного и...

Тестирование производительности 1С на СУБД MSSQL 2022 и PostgreSQL 15 (на 20, 40, 60,80, 100 пользователей) В начале 2024 года мы решили провести новое исследование производительности 1С:Предприятие на СУБД MSSQL и PostreSQL и вот что получилось... Читать далее

Китай вкладывает миллиарды долларов в производство передовых чипов памяти Огромные государственные средства направляются на помощь производителям DRAM CXMT и флэш-памяти NAND YMTC с целью противодействия американским санкциям

Проведена первая в мире частичная пересадка сердца Первый в мире случай частичной пересадки сердца, ставший важным шагом в детской кардиохирургии, достиг своей цели: клапаны и артерии растут одновременно с пересаженными тканями. Это событие, зафиксированное в исследовании, опубликованном в Journal of the American Medical Ass...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Французская компания Latitude привлекла $30 млн на разработку малой ракеты-носителя Французская компания Latitude, специализирующаяся на разработке небольших ракетных двигателей, привлекла $30 миллионов инвестиций для производства своих первых ракет. Это объявление было сделано 22 января, когда компания объявила об успешном раунде финансирования серии ...

Полк полицейского спецназа из Днепра решили расформировать после перепалки бойцов с руководством Они просто отказались служить в штурмовой бригаде "Лють", согласившись отправиться на передовую только в составе своей боевой группы. Теперь их всех уволят и все равно отправят в ВСУ

США и Нигер начинают переговоры о выводе американских войск Представители США и Нигера проведут встречу 25 апреля, чтобы обсудить вывод американских войск из западноафриканской страны. Решение о начале переговоров было принято после консультаций между правительствами двух стран на фоне растущих антиамериканских настроений в Нигере.

5 детских болезней бизнеса в российском производстве В 2020 г. Л. открыл производство газопоршневых генераторов, которое вышло на оборот в 100 млн/год, первую установку собирал вместе с инженерами в поле. Но с самого начала предприятие не прекращало "болеть", а сейчас переживает тяжелый кассовый разрыв в 30 млнНа основе интерв...

Регулятор в США подал в суд на Amazon — компанию обвинили в «монопольной власти» и подавлении конкуренции Это уже четвёртый его иск к маркетплейсу в 2023 году.

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Расходы на публичные облака растут благодаря ИИ Самые высокие темпы роста ожидаются в сегменте IaaS.

Мощности SK hynix по выпуску памяти HBM3 заняты на весь следующий год Этот вид продукции станет самым активно растущим для компании.

Huawei готовит гарнитуру для конкуренции с Apple на рынке VR Технологические гиганты вновь скрещивают рога, но уже на арене виртуальной реальности, и последний претендент — компания Huawei со своей собственной гарнитурой, о которой недавно пошли слухи. Этот шаг позиционирует китайскую компанию как прямого конкурента Vision Pro.

В Севастопольcком госуниверситете изобрели перспективный нанопорошок Учеными Севастопольского госуниверситета изобретен уникальный и весьма перспективный нанопорошок, который наверняка найдет применение в авиастроительной отрасли, средствах защиты от радиации, а также при производстве передового оборудования и композитных материалов.

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Очередь за памятью HBM3 и HBM3E производства SK Hynix выстроилась более чем на год SK Hynix недавно заявила, что все ее мощности по производству памяти HBM3 и HBM3E в 2024 году забронированы клиентами. По оценкам SK Hynix, в 2023 году доля серверов, оснащаемых ускорителями с памятью типа HBM и используемыми для работы с искусственным интеллектом, сост...

ВСУ обнаружили в российских "Геранях" двигатели производства своего союзника из Европы – Чехии Не имей сто друзей, а имей "сто рублей" на покупку двигателя для своих передовых разработок у стран Запада

В России создадут БПЛА, который можно печатать прямо на передовой Конструкторы новосибирского КБ «Спектр» приступили к разработке нового ударного армейского беспилотника, который относится к самолетному типу, а изготавливаться он будет при помощи 3D-печати прямо в районах проведения СВО. Причем для производства вполне подойдет вторсырье.

Несмотря на уязвимость перед “гиперзвуком” России и КНР, США продолжают строить устаревшие авианосцы Военно-морские силы Соединенных Штатов наращивают производство авианосцев класса Ford, несмотря на растущую уязвимость перед гиперзвуковым оружием России и КНР, а также, как выясняется, устаревшими конструкторскими решениями

Страны Евросоюза решили провести свою операцию в Красном Море Германия, Франция и Испания вывели свои корабли из под командования США

Британский регулятор заявил, что покупка Figma компанией Adobe может подорвать конкуренцию и развитие рынка Adobe и Figma с выводами не согласились.

Qualcomm представила процессоры Snapdragon X Elite и Plus для ноутбуков Компания Qualcomm впервые представила чипсеты, ориентированные именно на рынок ноутбуков и нацеленные на конкуренцию с AMD и Intel.

От перфокарт до чатботов: с чего начиналась и куда идет аналитика данных История аналитики данных полна сюрпризов — от скромного начала с перфокарт до передового «современного стека данных». Обозреватель HackerNoon решил поделиться краткой сводкой основных событий, которые привели нас к этому моменту.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Несмотря на запреты США, Китай нарастил импорт чипового оборудования вдвое Новый доклад Конгресса США показал, что несмотря на запрет экспорта передовых технологий, Китай сумел вдвое нарастить закупки оборудования для производства чипов в 2023 году.

Samsung оставила сотрудников полупроводникового подразделения без годового бонуса. А бонусы Samsung порой огромны Компания Samsung отменила все бонусы для своих сотрудников полупроводникового подразделения из-за плохого окончания 2023 финансового года.  создано DALL-E У Samsung есть традиция выплачивать бонусы в конце года сотрудникам всей компании в знак благодарности за хор...

На Ставрополье создадут центр по производству беспилотников Владимир Владимиров, губернатор Ставропольского края, сообщил о планах создания научно-производственного центра по производству беспилотных летательных аппаратов. Он подчеркнул, что такой шаг был предпринят в ответ на запросы с передовой и потребности региона.

Intel и Microsoft объявили о сделке по разработке специализированных чипов на техпроцессе Intel 18A Данное соглашение знаменует большой успех литейных усилий компании Intel.

Ford прощается со своим популярным кроссовером: Ford Edge снимут с производства в апреле 2024 года Ford решил отказаться от кроссовера Edge: последний экземпляр этой модели выпустят в канадском Оквилле 26 апреля текущего года. Прямого преемника у Edge, судя по всему, не будет: вместо него в Канаде начнут выпускать пять моделей электромобилей. Изображение: Ford Эта м...

Samsung намерена начать массовое производство чипов на стеклянной подложке к 2026 году Компания Samsung решила перейти к следующему поколению упаковочного материала, начав работы по созданию стеклянных подложек к 2026 году

Airbus заменит свой транспортный флот турбопарусниками Компания Airbus планирует нарастить к 2026 году производство самолетов до 75 в месяц, что породит немалое количество выбросов в атмосферу. Для их снижения компания решила модернизировать свой океанский флот, который состоит из трех грузовых кораблей. Они нужны для перевозки ...

Названы самые продаваемые смартфоны в мире: iPhone 14 Pro Max и 15 Pro Max показали очень похожий результат, при этом в топ-10 не попали флагманы Samsung Рейтинг самых продаваемых смартфонов в мире опубликовала исследовательская компания Canalys, которая поставила на первое место iPhone 14 Pro Max с поставками на уровне 34 млн единиц. iPhone 15 Pro Max, который в прошлом году продавался на три месяца меньше, чем iPhone ...

Япония движется в авангарде полупроводниковых технологий — Токио нацелен преодолеть рубеж в 1 нм Амбициозная "дорожная карта" Токио по производству передовых микросхем нацелена на преодоление рубежа в 1 нанометр

Филиппины готовятся к артиллерийским учениям на фоне напряженности в Южно-Китайском море Филиппины проведут артиллерийское учение с 26 февраля по 1 марта в Маниле, чтобы повысить боевую готовность и совершенствование навыков стрельбы из различных видов артиллерии. Учение проводится на фоне растущей напряженности в Южно-Китайском море, где Китай претендует на бол...

OpenAI замахнулась на конкуренцию с Google. Компания работает над собственной поисковой системы Компания OpenAI хочет попробовать свои силы в новой сфере и потягаться с самой Google. Как сообщается, OpenAI уже работает над собственной поисковой системой.  создано DALL-E Частично, возможно, поисковик OpenAI будет опираться на Microsoft Bing, что неудивительно...

Не всё так гладко на рынке электромобилей. Компания Volkswagen опять отложила решение относительно постройки ещё одного завода по производству элементов питания для аккумуляторов На фоне обилия анонсов новых электромобилей, заявлений компаний о том, что будущее уже наступило и законодательных актов, связанных с этим рынком, может сложиться впечатление, что всё развивается прекрасно. Однако, к примеру, Volkswagen Group заявила о том, что решила р...

ЕС планирует начать расследование закупок медицинского оборудования Китаем В Евросоюзе считают, что Пекин стимулирует государственные учреждения закупать отечественное оборудование, устраняя тем самым справедливую конкуренцию

Capcom хочет воскресить старые серии Издательство решило провести опрос и выяснить, какие из его брендов интересны публике и какие из них стоит одарить продолжением

США провели неудачный испытательный пуск МБР Minuteman III Штаты решили проверить состояние своих ядерных сил и заодно "поиграть мускулами". Не получилось

Qualcomm Snapdragon X Elite опередил Intel Core Ultra 7 155H в тестах с ИИ Передовой процессор Qualcomm Snapdragon X Elite предназначен для использования в ноутбуках нового поколения и появится в продаже примерно в середине 2024 года, но перед тем, как отправить решение в релиз, Qualcomm решила немного похвастаться возможностями чипа в области гене...

Российский IT-сектор растет темпами, вдвое превышающими мировые В 2023 году IT-сектор в России показал внушительный рост, став важным игроком национальной экономики. Доля отрасли в ВВП увеличилась до 2%, а выручка достигла 5,5 трлн рублей, что означает увеличение на 43%. Радует тот факт, что рост затрагивает все сферы от облачных техноло...

Небольшой конкурент Samsung по чипам памяти SK Hynix станет победителем в области искусственного интеллекта — Bloomberg Акции Samsung Electronics растут не так быстро, как акции её конкурента по производству чипов памяти SK Hynix. Как пишет Bloomberg, инвесторы делают ставку на то, что именно последняя станет победителем в области искусственного интеллекта. Акции SK Hynix выросли на 67% ...

Cross-Encoder для улучшения RAG на русском Одно из самых прикладных применений языковых моделей (LLM) - это ответы на вопросы по документу/тексту/договорам. Языковая модель имеет сильную общую логику, а релевантные знания получаются из word, pdf, txt и других источников.Обычно релевантные тексты раскиданы в разных ме...

Мощность мотора – до 408 л.с., КПД – 92%. Раскрыты характеристики российской электромеханической трансмиссии для гибридов и электромобилей «Автопоток» опубликовал характеристики передовой (для России) электромеханической трансмиссии NAMI E-Transmission. Впервые о ней стало известно 10 назад: устройство демонстрировали главе Минпромторга Денису Мантурову. E-Transmission может использоваться как...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Первый iPad от Apple официально вышел 3 апреля 14 лет назад Первый iPad от Apple официально вышел 3 апреля, и даже спустя 14 лет ни одна компания не смогла создать ему достойную конкуренцию

Китайский автопроизводитель SAIC сокращает 70% штата дочерней компании Rising Auto Не вывозят ребята внутреннюю конкуренцию и уровень продаж.

По итогам третьего квартала GAC оказался самым динамично растущим производителем электромобилей BYD при этом оказался крупнейшим, сопоставимым по объёмам продаж с Tesla.

Закупки ИИ растут в объёмах и конкуренции Электронная площадка Росэлторг провела анализ государственных и корпоративных закупок в сфере искусственного интеллекта (ИИ) за последние два года. Для исследования учитывались процедуры с упоминанием искусственного интеллекта; машинного и глубоког...

Intel планирует использовать техпроцесс 2 нм от компании TSMC 2-нм технологический процесс от TSMC привлекает огромный интерес клиентов, Apple и Intel получат большую часть поставок для своих процессоров.

Ford отказался от электромобилей Сегодня компания Ford официально объявила о существенном переносе релиза своего электрического трёхрядного внедорожника — компания сместила презентацию до 2027 года. Кроме того, компания также начнёт работу над своим следующим электрическим грузовиком в 2026 году и, что куда...

Если бы Linux Foundation была компанией, она была бы крупнейшей в мире Организация CNCF недавно провела KubeCon в Шанхае. В ходе мероприятия Джим Землин (Jim Zemlin), исполнительный директор Linux Foundation, поделился некоторыми интригующими статистическими данными и соображениями. Он с юмором предположил, что Linux Foundation могла бы стать к...

Стартовало производство совершенно новой Skoda Octavia — с новыми светодиодными матричными фарами второго поколения и интеграцией ChatGPT Официальная премьера рестайлинговой Skoda Octavia состоялась в середине февраля, а сейчас компания сообщила о запуске автомобиля в серийное производство. Изображение: Skoda Выпускают автомобиль на заводе в Млада-Болеславе с четырьмя бензиновыми и двумя дизельными двига...

Huawei смогла разработать собственную технологию производства 5-нм чипов. Перспективы нового метода и самой компании Как известно, на Китай наложены торговые санкции, которые не позволяют компаниям из Поднебесной закупать оборудование для производства современных чипов. Поэтому КНР приходится решать проблему налаживания производства процессоров и других современных электронных компонентов...

Российская космическая компания провела испытания метанового ракетного двигателя Частная российская космическая компания SR Space достигла важного этапа в разработке кислородно-метанового ракетного двигателя, проведя огневые испытания его камеры сгорания, пишет Наука.рф.

МИД России Захарова раскрыла «лживую параллель» со Второй мировой войной эстонского МИД МИД Эстонии решил сделать очередной выпад в адрес России и русских, проведя при этом «лживую параллель» со Второй мировой войной.

Япония и США займутся совместной разработкой передового ИИ Премьер-министр Японии Фумио Кисида и президент США Джо Байден на саммите в Вашингтоне, который состоится 10 апреля, объявят о совместной работе над передовыми технологиями в области искусственного интеллекта (ИИ) и полупроводников.

Компания Neuralink Илона Маска получила разрешение на вторую операцию, несмотря на проблемы у первого пациента Компания Neuralink Илона Маска получила разрешение FDA на имплантацию своего чипа второму пациенту.  фото: Neuralink Операция запланирована на июнь, а до конца текущего года Neuralink рассчитывает провести 10 таких операций. Всего же в базе компании уже более 1000...

«Автотор» начал сборку флагманских кроссоверов Kaiyi Х7 На калининградском автомобилестроительном заводе «Автотор» стартовало производство среднеразмерного кроссовера Kaiyi Х7 Kunlun, сообщает официальный Telegram-канал компании, первые автомобили уже сошли с конвейера. Kaiyi Х7 — это один из самых крупных ...

С Radeon RX 570/580 наконец-то пора прощаться? AMD будет выпускать для Polaris и Vega только критические обновления Компания AMD решила прекратить полноценную поддержку видеокарт линеек Polaris и Vega. Как сообщается, для соответствующих адаптеров будут выходить только критические обновления.  Графические архитектуры AMD Polaris и Vega являются зрелыми, стабильными и производит...

iPhone 16 Pro получит чип Apple A18 Pro, улучшенный специально для работы искусственного интеллекта По словам Джеффа Пу из Haitong International Tech Research, Apple планирует внести изменения в чип A18 Pro специально для искусственного интеллекта. Пу также пишет, что Apple наращивает производство чипов A18 Pro раньше обычного. Согласно данным из цепочки поставок, мы ...

Пентагон назвал военной китайскую компанию YMTC, у которой Apple хотела покупать флеш-память Очередная крупная китайская компания попала под прицел санкционной машины США. Пентагон обновил список компаний из Поднебесной, которые, по данным самого Пентагона, так или иначе связаны с военным сектором Китая.  В данном случае в список так называемых военных ко...

ChatGPT возглавил список самых просматриваемых статей Википедии в 2023 году ChatGPT, передовой чатбот с ИИ от OpenAI, «захватил» интернет-пространство, и заняв при этом заветное место самой просматриваемой англоязычной статьи в Википедии в 2023 году. По данным, в этом году страницы английской Википедии набрали 84 миллиарда просмотров, при этом ChatG...

Bambu Lab X1E Combo - ваш билет в будущее производства! Новое видео на нашем YouTube-канале!Окунитесь в мир передовых технологий производства. В этот раз мы представляем Вам распаковку и обзор инновационного 3D-принтера Bambu Lab X1E Combo!Сервисный инженер iGo3D Russia, Дмитрий Тиняков, покажет комплектацию, расскажет о деталях ...

Huawei откажется от рынка смартфонов в пользу ИИ Huawei решила сделать шаг назад в своем бизнесе смартфонов, сосредоточившись на освоении растущего рынка аппаратного обеспечения для искусственного интеллекта в Китае — это позволит конкурировать с NVIDIA. Для этого китайский производитель делает ставку на собственные чипы A...

У дилеров уже появился пикап Sollers ST6, который собирают на бывшем российском заводе Mazda. Это одна из самых доступных моделей в классе Как сообщает «Российская газета», дилеры уже начали получать новейшие пикапы Sollers ST6, производство которых наладили на бывшем российском заводе Mazda (МАЗДА СОЛЛЕРС Мануфэкчуринг Рус). За автомобиль просят 2,7 млн рублей, что делает его одной из самых до...

В «ТехноСпарке» собрали самый мощный экзоскелет в России Столичная компания TEN group (входит в контур компаний группы «ТехноСпарк») приступила к производству экзоскелетов с номинальной грузоподъемностью до шестидесяти килограмм, с помощью которых работники предприятий смогут поднимать, переносить и удерживать предметы.

Audi отказывается от своей запутанной схемы именования автомобилей Audi запутала поклонников в 2017 году, когда представила двузначную схему именования всей линейки. Терминология основана на мощности: от «30» для автомобилей мощностью 109-128 лошадиных сил до «70» для автомобилей мощностью не менее 536 л.с. Комб...

SEG Solar и Grand Batang City договорились об участке под технопарк солнечной энергетики Компания SEG Solar («SEG»), ведущий американский производитель фотоэлектрических (PV) модулей, и Kawasan Industri Terpadu Batang (Grand Batang City), крупнейший промышленный комплекс ГП в Индонезии, провели церемонию подписания Соглашения об использовании земли для развития ...

Как провести PI-планирование на 100+ человек: от глобальных целей до точечных задач Когда над созданием продуктов работает множество команд (в нашем случае 16) возникают сложности в их синхронизации. Это приводит к задержкам поставки и неудовлетворенности заказчика. Решить проблему можно с помощью планирования, на котором владельцы бизнеса и все команды опр...

Mitsubishi сдалась: из-за упавших до нуля продаж компания прекращает производство автомобилей в Китае Mitsubishi Motors прекращает производство автомобилей в Китае на фоне слабых продаж и жесткой конкуренции. Японский автопроизводитель заявил во вторник, 24 октября, что проведет реструктуризацию совместного предприятия Guangzhou Automobile Group и Mitsubishi Corp. ...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Российская компания начнёт выпуск базовых станций 5G в следующем году Издание «Коммерсантъ» сообщает, что принадлежащий МТС разработчик телекоммуникационного оборудования «Иртея» собирается наладить производство базовых станций для сотовых сетей 5G и 4G на мощностях томского завода «Микран». Об этом жур...

Исследование обнаружило необходимость вложений в $2 млрд для расширения порта Канаверал Недавнее исследование компании Space Florida рекомендует инвестиции в размере более $2 миллиардов для расширения порта Канаверал, чтобы удовлетворить растущие потребности компаний, участвующих в запусках космических миссий. В настоящее время порт Канаверал используется ...

Китайский производитель AR-очков привлек $60 млн для конкуренции с Apple Генеральный директор Xreal заявил, что компания намерена выйти на биржу в течение двух лет

Летающее такси Hyundai будет использовать самые передовые тяговые аккумуляторы Когда начнёт эксплуатироваться в 2028 году.

Буданов: Тяжелому положению на передовой ВСУ обязаны не в последнюю очередь Залужному Самое правильное решение всё "валить" на предшественников. Кто, если не они "виноваты"?

Logitech представила MX Brio - самую передовую веб-камеру Logitech представила MX Brio - самую передовую веб-камеру, разработанную с учетом эволюции методов работы и потокового вещания

Гигантские ИИ-модели и самые производительные чипы: Nvidia выпустила мощный GPU B200 со скромным потреблением энергии Корпорация Nvidia продолжает развивать направление производства чипов для ИИ-отрасли. Сейчас руководитель американской компании заявил о выпуске самого мощного ИИ-чипа в мире. Кроме того, готовы и некоторые модульные системы, базирующиеся на основе GPU B200, так называется н...

Более 700 сотрудников OpenAI могут покинуть компанию и перейти в Microsoft (обновлено) Большинство сотрудников OpenAI пригрозили уволиться из компании и перейти в Microsoft, если совет директоров не восстановит в должности Сэма Альтмана и Грега Брокмана, а затем не уйдёт в отставку в полном составе. Об этом говорится в коллективном письме для совета директоро...

США хотят запретить России и Китаю передовые ИИ от Google и OpenAI Администрация США намерена ввести новые ограничения на экспорт передовых моделей искусственного интеллекта в Китай и Россию, чтобы защитить американские технологии от возможного использования в военных целях.

УАЗ «Патриот», а также другие автомобили УАЗа, получат полностью отечественный руль, подушки безопасности и ремни безопасности Губернатор Ульяновской области Алексей Русских рассказал о проекте компании «Соллерс» по производству отечественных систем пассивной безопасности. Изображение: УАЗ «С компанией "Соллерс" сегодня подписали соглашение о новом проекте, инвестиц...

Вот она — новая категория компьютеров, опирающаяся на искусственный интеллект. Microsoft представила Copilot+ PC Компания Microsoft представила новую категорию ПК с Windows, ориентированных на искусственный интеллект. Microsoft назвала её Copilot+ PC.   Это те самые AI PC, но Microsoft решила использовать более конкретное название, прямо указывающее именно на её искусственны...

Google планирует увеличить продажи Google Pixel. Хорошо ли это для нас В последние годы рынок смартфонов испытывает постоянное напряжение, где производители стремятся привлечь внимание потребителей через инновации и высокое качество продукции. Среди множества брендов выделяется Google Pixel – устройства этой линейки не только предлагают передо...

Китай и «эффективность» санкций США: голландский импорт литографии вырос в десять раз Удивительным образом Китай обходит американские ограничения на оборудование для производства микросхем, обращаясь к Нидерландам, которые в ноябре в десять раз увеличили импорт систем литографии. На общую сумму 762,7 миллиона долларов Китай ввез 16 единиц передового оборудова...

Samsung хочет опередить Intel и первой выпустить частично стеклянные процессоры. Компания начала разработку стеклянных подложек для своих продуктов Компания Samsung решила ввязаться в гонку с Intel и тоже перейти на использование стеклянных подложек в своих чипах.   Как сообщается, дочерней компании Samsung Electro-Mechanics было поручено начать научно-исследовательские разработки в этом направлении. При этом...

«Вся индустрия заинтересована в ликвидации рынка CUDA». Глава Intel раскритиковал Nvidia и её доминирование на рынке ИИ Компания Intel после анонса процессоров Meteor Lake, которые пока не особо впечатляют, судя по первым тестам, решила заодно обрушиться с критикой на Nvidia.  создано DALL-E Если точнее, это сделал лично глава Intel Пэт Гелсингер (Pat Gelsinger). Его заявление каса...

Продажи Geely в России в 2023 году взлетели в 3,5 раза. Geely Monjaro – вторая модель по популярности после Coolray Аналитики «Автостата» подвели итоги продаж автомобилей Geely в России в 2023 году. Оказалось, что компания смогла реализовать 93553 автомобиля – в 3,5 раза больше, чем в 2023 году. Это позволило увеличить рыночную долю с 4,3 до 8,8%. Самым популярным ...

Капитализация NVIDIA почти достигла 1 300 000 000 000 долларов США Акции компании растут благодаря ИИ-буму

Чьи прогнозы на 2023 по крипте оказались точнее - аналитики против ИИ В 2023 году редакция BeInCrypto провела эксперимент. Мы решили выяснить, чьи криптовалютные прогнозы точнее — аналитиков или искусственного интеллекта

Подразделение Toyota надолго остановило производство из-за недавнего скандала Daihatsu Motor Co., дочерняя компания Toyota по производству компактных автомобилей, объявила о приостановке производства по крайней мере до конца января в связи со скандалом, связанным с проверкой безопасности. Поскольку внутренние поставки уже остановлены, компания по-преж...

Apple переименует Apple ID в Apple Account Apple ID спустя более 20 лет получит ребрендинг.

Tesla столкнется с новым конкурентом на китайском рынке - электромобилем Speed Ultra 7 Компания Tesla столкнется с еще большей конкуренцией на китайском рынке, поскольку Xiaomi готовится к выходу в автомобильный сектор.

The Information: OpenAI может конкурировать с Google с помощью собственной поисковой системы Компания-разработчик чат-бота ChatGPT работает над новым продуктом — поисковиком, который сможет составить конкуренцию Google.

AALTO HAPS Ltd. планирует составить конкуренцию компании Илона Маска Подразделение Airbus SE начнёт предоставлять услуги спутниковой связи через свои дроны

Politico: Пентагон для тушения "пожара" на передовой отправит ВСУ расширенный пакет военной помощи Самым опасным "содержимым" будет отправка Bradley и ATACMS

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)