Социальные сети Рунета
Среда, 22 мая 2024

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

ADATA XPG внедрила в производство скоростной DDR5 новую технологию для улучшения охлаждения Технология будет применяться при производстве модулей со скоростью 8000 МТ/с и выше.

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Intel планирует запустить 1-нм техпроцесс производства в 2027 году На MWC 2024 в Барселоне (Испания) компания Intel сделала революционное заявление, которое закладывает основу для будущего производства полупроводников. Кейван Эсфарджани, исполнительный вице-президент Intel и генеральный директор по литейному производству и поставкам…

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Apple уже заказала у TSMC процессоры на 2 нм В прошлом году Apple объявила о релизе новых процессоров M3, созданных на 3-нанометровой архитектуре от TSMC. И в то время как чипы M3 Pro и M3 обеспечивают повышенную производительность по сравнению с чипами M2, компания уже начала работу над своими процессорами следующего ...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

В России создали уникальные диэлектрики для космической аппаратуры Холдинг «Росэлектроника» госкорпорации Ростех освоил производство полимерных диэлектриков, которые применяются в электронных блоках для изоляции микросхем от воздействия внешних факторов. Об этом рассказали в пресс-службе госкорпорации.  Иллюстрация: &...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Процессор Zilog Z80 спустя почти 50 лет решили снять с производства Мир технологий прощается с легендой. Спустя почти 50 лет компания Zilog прекращает производство процессора Z80 — микропроцессора, на котором работало бесчисленное множество консолей, аркадных автоматов и встраиваемых устройств.

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

Массовое производство Zen 5 процессоров AMD Granite Ridge уже может быть запущено Новую серию ожидают в этом году, она будет конкурировать с процессорами Intel Arrow Lake-S.

Применение лазерного 3D-сканера Shining 3D FreeScan Trak Pro Устройство, представляющее собой комплект из 3D-сканера и трекера, и называющееся FreeScan Trak Pro, предназначено, в основном, для промышленного применения в инспекции производства — проверки размеров и формы создаваемых деталей на предмет отклонения их от проектных форм и ...

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

В России восстановят производство препарата "Аспарагиназа" для лечения лейкоза Первый заместитель главы Минпромторга России, Василий Осьмаков, объявил о планах возобновления производства субстанции для препарата «Аспарагиназа». Этот препарат применяется при лечении лейкоза, и его восстановление запланировано на следующий год, пишет ТАСС.

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Представлен процессор Huawei Honghu 900 с улучшенной графикой Он применяется в телевизоре Smart Screen V5 Pro

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

В российских автомобилях может появиться «жидкая кожа» Как сообщает «Автопоток» со ссылкой на Минпромэнерго Саратовской области, НПП «Полипластик» из города Энгельс запустило промышленное производство «жидкой кожи» на основе термоэластопласта. Компаунд используется дли изготовления тонкос...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Samsung раскрыла первые подробности о 1,4-нм техпроцессе Компания Samsung планирует опередить своих конкурентов с помощью технологии GAA для производства мощных 1,4-нм чипов.

Особенности и преимущества TCL с Google TV Компания TCL - это бренд, который занимается, как производством, так и разработкой бытовой техники, мобильных гаджетов, дисплеев и телевизоров. Компания была основана в 1981 году путём слияния TTK Home Appliances и TCL Communication Equipment. В двухтысячных годах корпорация...

Стартовало производство ноутбука Aquarius Cmp NE355, совместимого с российскими ОС Российский разработчик компьютерного оборудования «Аквариус» представил ноутбук Aquarius Cmp NE355. Его особенностью является совместимость с основными отечественными операционными системами: Astra Linux, «Альт», «Ред ОС» и «Рос...

Huawei создаёт по всему Китаю «незаметную» сеть заводов, причём при поддержке тайванских компаний Huawei частично обходит санкции США при поддержке тайванских компаний.   Как сообщает Bloomberg, некоторые тайванские компании помогают китайскому гиганту создавать заводы по производству полупроводников по всему региону. Источник называет это «незаметной&ra...

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Huawei и SMIC подали заявку на выпуск чипов по 5-нм техпроцессу при помощи сложной методики Метод травления SAQP считается слишком дорогом для массового производства чипов

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Теперь такие чипы будут не только у Apple. TSMC нарастит производство 3-нанометровых чипов до 100 000 пластин в месяц Компания TSMC сейчас является единственной, кто массово производит продукцию по техпроцессу 3 нм. И спрос на эту продукцию столь велик, что TSMC будет повышать производство почти на 70%.  В текущем году TSMC планирует повысить объёмы производства с 60 000 до 100 0...

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Samsung может начать массовое производство 2-нм чипов в 2025 году, используя технологию GAA Технологию gate-all-around могут запустить для 2-нм чипов Samsung, поскольку литейное подразделение корейской компании намерено начать массовое производство по этому техпроцессу примерно в 2025 году.

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Новейшие процессоры и видеокарты будут намного дороже текущих поколений? Машина ASML High-NA Twinscan EXE EUV для производства чипов стоит 380 млн долларов Компания ASML ранее уже предупреждала, что её установка High-NA Twinscan EXE EUV для производства полупроводников будет очень дорогой. Согласно свежим данным, она вдвое дороже системы прошлого поколения.  фото: Reuters Новая установка с высоким числом апертуры буд...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

AMD возможно планирует перенести производство GPU Radeon на более дешевый техпроцесс Samsung По словам @Tech_Reve, Team Red диверсифицирует свою цепочку поставок, перенеся производство своих недорогих APU Ryzen (и Athlon) на более дешевый техпроцесс Samsung.

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

Samsung Galaxy S25 выйдет на базе Exynos 2500 Согласно информации от западных инсайдеров, компания Samsung планирует придерживаться стратегии с двумя процессорами для своей предстоящей серии флагманских смартфонов Galaxy S25. Это значит, что некоторые регионы мира будут предлагать смартфон нового поколения на базе проце...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Intel параллельно работает над пятью техпроцессами, но при этом 30% продукции отдаёт на аутсорс Компания Intel хочет к 2030 году стать вторым после TSMC полупроводниковым производителем в мире. Но пока что ей самой приходится пользоваться услугами той же TSMC, причём весьма активно.  Согласно свежим данным самой Intel, сейчас компания отдаёт на аутсорсингово...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Чипы для всех. Свободный стандарт на производство микросхем LibrePCB: бесплатный инструмент для разработки печатных плат под Windows, Linux и MacOS Идея свободного железа особенно актуальна сейчас, когда крупные корпорации пытаются ограничить доступ к своей интеллектуальной собственности, а национальные правительства ставят друг дру...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Стартовало серийное производство российского оптоволокна для линии связи, которая соединит Европу и Азию Завод «Оптиковолоконные системы» (входит в Роснано) начал серийное производство оптоволокна для Трансъевразийской волоконно-оптической линии связи (TEA NEXT). «Оптиковолоконные системы» — это единственный в России завод по серийному произво...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

В России запустили серийное производство материнской платы для процессоров «Байкал» и «Элвис» О запуске производства «матерей» для российских процессоров заявил ресурс Moscowseasons.

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

MacBook Pro на М4 выйдет в первом квартале 2025 года Новый MacBook Pro на базе процессора M4 от компании Apple, если верить западным инсайдерам, уже находится в стадии активной разработки, но это вовсе не значит, что новая система на кристалле появится в ближайшее время — специалисты уверены, что с учётом сроков на производств...

Выбираешь CPU Intel и автоматом получаешь Samsung. Процессоры Lunar Lake-MX будут оснащаться памятью LPDDR5X производства Samsung Процессоры Intel Lunar Lake будут очередным поколением, которое будет ощутимо отличаться от предшественников. В частности, эти CPU получат собственную оперативную память, которая разместится на единой с CPU подложке. Согласно свежим данным, это будет память LPDDR5X прои...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

Текущий глава Intel Пэт Гелсингер почти 40 лет назад фактически «расписался» на каждом процессоре Intel 80386, а обнаружили это лишь сейчас Текущий глава Intel Пэт Гелсингер (Pat Gelsinger), как оказалось, «расписался» на каждом процессоре Intel 80386 (или просто Intel 386).  Гелсингер изначально не был управленцем — он был, кроме прочего, одним из основных разработчиков культового п...

Huawei Mate 60 Pro получил 5G-модем собственного производства Сегодня зарубежные журналисты сообщили, что процессор Kirin 9000S, установленный в смартфоне Mate 60 Pro, доказывает тот факт, что Huawei совместно с компанией SMIC может поддерживать массовое производство мобильных процессоров даже без помощи американских фирм и других орга...

Санкции США, конечно, работают, но Huawei справляется. SMIC начнёт производить для неё 5-нанометровые платформы уже в этом году Компания SMIC может начать выпускать для Huawei чипы по техпроцессу 5 нм уже в этом году.  Как сообщается, SMIC уже построила на своей фабрике в Шанхае новые линии для производства такой продукции. Как сообщалось ранее, для этого SMIC будет использовать имеющееся ...

«Началась гонка». В Минпромторге заявили, что производство российского литографа начнется в 2024 году Замглавы Минпромторга РФ Василий Шпак дал большое интервью РИА «Новости», в котором осветил тему российской микроэлектроники. По словам чиновника, уже в следующем году в России начнется производство отечественного литографа. Правда, о производстве передовых ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Представлены процессоры AMD Ryzen Pro 8040: мощнее Core Ultra, а потребляют меньше AMD представила линейку процессоров Ryzen Pro 8040 для бизнес-ноутбуков, мобильных рабочих станций и т.д. В линейке восемь моделей, все с 6 или 8 ядрами. От обычных процессоров линейки Ryzen 8040 они не отличаются ничем, кроме поддержки ряда специализированных технолог...

Процессоры Intel Xeon Cascade Lake снимают с производства Компания Intel объявила о снятии с производства процессоров Xeon Scalable (Cascade Lake) второго поколения

Фотографии китайского процессора HiSilicon Kirin 9000s производства Huawei озадачили экспертов Процессор стал основой для смартфона Mate 60 Pro

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

В России стартует крупносерийное производство импортозамещённых поршневых авиационных двигателей В индустриальном парке «Руднево» стартует выпуск поршневых авиационных двигателей для гражданских беспилотных воздушных судов. Крупносерийное производство наладят московский производитель «Аэромакс» совместно с инжиниринговой компанией «При...

TSMC будет взимать со своих клиентов более высокую плату за чипы американского производства Процессоры американского производства будут дороже, чем тайваньские, и покупателям придется за них доплачивать.

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Производство российских ракет-уничтожителей AWACS увеличено вдвое Авиационные комплексы радиолокационного обнаружения и наведения (AWACS) применяются США для передачи разведданных Украине и корректировки нанесения ударов. Но Россия уже готовит свой ответ на случай полномасштабной войны.

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Xiaomi будет сотрудничать с ARM для производства собственных процессоров Это подтвердил генеральный директор MediaTek - Рик Цай (Rick Tsai).

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Взлет и падение языка COBOL. Где и как язык программирования, которому 60 лет, применяется и в наше время? В 2023 году языку COBOL исполнилось уже 64 года. Это один из старейших языков программирования, которые применяются на практике. Кроме того, он же — один из лидеров по объему написанного кода. Язык не собирается умирать, наоборот, он развивается. Конечно, конкурентом популяр...

Процессоры AMD Zen 5 "Granite Ridge", как сообщается, запущены в массовое производство О процессорах Granite Ridge мы ничего не слышали с ноября прошлого года, а на декабрьском мероприятии "Advancing AI" AMD решила не представлять процессоры нового поколения для настольных ПК

Micron Technology объявила о начале серийного производства памяти типа HBM3E HBM3E (High Bandwidth Memory 3E) будет использоваться в графических процессорах NVIDIA H200 Tensor Core

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Чипсеты Apple M4 и A18 увеличат производительность ИИ Тайваньская компания TSMC будет заниматься производством пластин для этих процессоров

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Meizu 21 получит 200-мегапиксельный сенсор. Создатели смартфона рассказали, чем он лучше Samsung Galaxy S23 Ultra Meizu сегодня раскрыла важную подробность о камере нового Meizu 21: оказывается, в нем применяется 200-мегапиксельный сенсор Samsung ISOCELL, причем не обычный, а доработанный специалистами компании. В чем заключается доработка, неизвестно, но Meizu говорит о том, что ...

Московский производитель 3D-сканеров начал поставки в Саудовскую Аравию и Таджикистан 3D-сканеры компании Texel позволяют автоматически оцифровывать крупногабаритные объекты или людей в полный рост. Такие устройства применяются в производстве 3D-печатной сувенирной и рекламной продукции, а также в швейном деле.

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

NVIDIA начнет массовое производство процессора H20 AI GPU для Китая NVIDIA ведет активную подготовку к запуску массового производства своего графического процессора H20 AI GPU, предназначенного для Китая и ожидаемого во втором квартале 2024 года, пишет wccftech.com.

NexTouch начала массовое производство системных плат под российские процессоры Компания «Некс-Т», которая является резидентом «Технополиса Москва» и многим известна под торговой маркой NexTouch, приступила к массовому производству системных плат под российские «Элвисы» и «Байкалы».

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

AMD начнёт продавать 3-нм процессоры уже в конце лета. Что о них известно? На днях стало известно о том, что компания AMD летом начинает продажу новых процессоров на базе архитектуры Zen 5. Производство запустят весной, и к осени чипы станут продавать уже в полном объёме. При этом у главного конкурента AMD, компании Intel, наиболее современные прод...

Планы TSMC: микросхемы с триллионом транзисторов и 1-нм техпроцесс TSMC готовится совершить прорыв в области производства микросхем, представив потрясающий план по выпуску чипов с триллионом транзисторов. Да, вы правильно прочитали — триллион транзисторов. В дополнение к этому TSMC намерена создать монолитные чипы с 200 миллиардами транзист...

В Зеленограде налажен серийный выпуск системных плат с поддержкой российских процессоров В следующем году производство будет расширено.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Краткая история титановых пигментов и их перспектива в российской промышленности Превосходство TiO2 в качестве белого пигмента обусловлено главным образом его высоким показателем преломления и, как следствие, способностью рассеивать свет. Он применяется в составе лакокрасочных материалов (ЛКМ), пластмасс, искусственных кож, бумаги, резинотехнических изде...

Intel сняла с производства процессоры 13 поколения с разблокированным множителем Компания Intel объявила о снятии с производства разблокированных "коробочных" настольных процессоров 13-го поколения Raptor Lake-S

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

DigiTimes: Apple первой получит 2-нм чипы TSMC для своих фирменных процессоров Ссылаясь на опрошенных экспертов, издание DigiTimes сообщило, что американская Apple будет первой компанией, которой посчастливится заполучить новейшие чипы производства TSMC

Самый быстрый игровой ноутбук с 64-ядерным процессором и флагманом NVIDIA за $8000 мог быть отменён Производитель не комментирует задержку в производстве Yungai REV-9

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

В России нашли новый способ получения материала для аккумуляторов и медицины В пресс-службе Института проблем машиноведения РАН сообщили, что ученые вуза разработали более доступный метод производства нанотрубок карбида кремния. Этот материал может применяться в литий-ионных аккумуляторах, углепластиковых изделиях, автопроме и медицине.

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Apple заказала процессоры у американской компании Amkor Сегодня компания Apple официально объявила о расширенном партнёрстве с компанией Amkor, которая занимается производством полупроводниковой продукции, намекая на изменение зависимости от компании TSMC. На текущий момент компания Apple стала первым и крупнейшим клиентом аризон...

В России начнётся производство современных печатных плат в больших объёмах В скором будущем Россия может получить свое собственное производство печатных плат до 7-го класса точности (применяются в наиболее передовой электронике), о чем сообщает «Коммерсантъ» со ссылкой на источники на рынке электроники. По данным издания, мощн...

Samsung выпустит Galaxy S25 на собственных процессорах Западные журналисты поделились новостью о том, что Samsung планирует полностью отказаться от процессоров стороннего производства в своих флагманских устройствах в ближайшем будущем. Они считают, что вся линейка смартфонов Galaxy S25 будет использовать исключительно свои чипы...

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

TSMC намерена начать производство новых чипов с потреблением в тысячи ватт Подложки новых графических и центральных процессоров будут на порядок больше сегодняшних моделей.

Графический процессор NVIDIA R100 на архитектуре Rubin поступит в производство в конце 2025 года Подробностей о нем очень мало, предполагается, что данные ускороители будут оснащаться высокопроизводительной памятью HBM4

Увлечение искусственным интеллектом усиливает нехватку памяти HBM: SK Hynix распродана на 2024 год Спрос на высокопроизводительные процессоры искусственного интеллекта, такие как GH200 и конкурирующий процессор AMD Instinct MI300, который также использует HBM, настолько высок, что производитель памяти SK hynix полностью распределил ожидаемое производство HBM на весь 2024 ...

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Российская компания выпустила самый мощный на отечественном рынке экзоскелет Российская компания TEN group (входит группу «ТехноСпарк») приступила к производству экзоскелета с номинальной грузоподъёмностью до 60 килограммов. По заявлению компании, это самая высокая грузоподъёмность среди всех подобных устройств отечественного произво...

АвтоВАЗ разрабатывает Lada размером с Toyota Camry, и она получит беспилотные технологии Глава АвтоВАЗа Максим Соколов сообщил о том, что завод работает над внедрением беспилотных технологий в автомобили Lada, причем это буду модели покрупнее Lada Vesta — классов C (длиной около 4,5 метра как Toyota Corolla) и даже D (длиной около 4,8 м, как Toyota Ca...

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

Миру грозит очередной дефицит чипов? На сей раз рынок упирается в недостаток фотошаблонов для производства полупроводниковых решений Похоже, рынок полупроводников может накрыть новая волна дефицита. На сей раз проблема в фотошаблонах.   фото: Intel Как сообщается, крупнейшие производители фотошаблонов, компании Toppan, Photronics и Dai Nippon Printing, уже сейчас работают на полную мощность, чт...

ARM-процессоры заинтересовали большее число производителей: у RISC-V проблемы Благодаря своей энергоэффективности и производительности архитектура ARM становится все более популярной в мире разработки микросхем такими крупными технологическими компаниями, как Apple. Так, и AMD, и Nvidia, признали преимущества архитектуры и осваивают производство проце...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Вместо пластыря: создание сверхпрочной адгезии между гидрогелями Одним из самых обговариваемых материалов в современном мире является гидрогель. Данный биоматериал, состоящий из набухших в воде молекулярных сетей, применяется во многих биомедицинских отраслях. Возможность менять химические и механические свойства гидрогелей для имитации...

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

AMD Zen 5 будет изготовлен по 3 нм нормам Новая архитектура AMD Zen 5 должна стать главной новинкой компании в этом году. Она ляжет в основу целого ряда семейств CPUб включая Granite Ridge для настольных ПК, Strix Point для мобильных систем и EPYC для серверов. По данным UDN процессоры будут производиться на за...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Qualcomm Snapdragon 8 Gen 4 будет потреблять слишком много энергии Появилась интересная информация о том, что компания Qualcomm может столкнуться с трудностями, связанными с энергопотреблением нового мобильного процессора Snapdragon 8 Gen 4. Специалисты отмечают, что проблему в этом направлении не удалось решить даже при помощи нового техно...

Стартовало производство отечественных ноутбуков «Аквариус» с высоким разрешением экрана Компания «Аквариус» сообщила о запуске производства улучшенной версии отечественных ноутбуков с высоким разрешением экрана. Ноутбук Aquarius Cmp NS616 разработан в собственном R&D-центре компании, системная плата изготовлена на производстве в Шуе, а сбор...

Почему я не рекомендую покупать смартфоны HUAWEI на процессорах Kirin HUAWEI — один из немногих производителей смартфонов, кто продолжает выпускать устройства на собственных процессорах Kirin в 2024 году. Помимо китайцев на рынке Android есть только 2 игрока с подобным подходом (Google и Samsung), в то время как остальные бренды полагаются ис...

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Huawei ответила на американские санкции процессорами Kirin 9000SL 5G и Kirin 8000 5G. Это первые за почти 5 лет собственные SoC Huawei c 5G для смартфонов Инсайдер Digital Chat Station раскрыл подробности об «антисанкционных» однокристальных системах Huawei, которые будут использоваться в смартфонах линейки nova 12. По данным инсайдера, в топовой модели Huawei nova 12 Ultra пропишется SoC Kirin 9000SL 5G, а H...

Новый метод производства Tesla может вдвое снизить затраты на производство В автомобиле Tesla стоимостью 25 000 долл. будет применен инновационный подход к производству, который позволит сократить расходы. Tesla называет новый подход к производству методом "unboxed".

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Уже в следующем году мы сможем купить ноутбук с Windows и процессором, созданным MediaTek и Nvidia Похоже, компания Nvidia собирается выйти на рынок Arm-процессоров для ПК с Windows не самостоятельно, а при поддержке MediaTek.  Как сообщается, две компании будут сотрудничать для создания однокристальных систем, которые при производстве будут использовать технол...

Euro NCAP будет снижать рейтинг безопасности авто за обилие сенсорных экранов Европейский комитет по оценке безопасности автомобилей (Euro NCAP) объявил о новых критериях, которые будут применяться с 2026 года

Intel снимает с производства процессоры Raptor Lake-S Сегодня компания Intel официально сообщила о своих планах по прекращению выпуска настольных процессоров 13-го поколения Raptor Lake-S с разблокированным множителем — начиная с текущего квартала BOX-версий чипа выпускать никто не будет. Последний срок для оформления заказов ...

В России собираются начать серийное производство не имеющих отечественных аналогов высокотемпературных двигателей В России планируют начать серийное производство двигателей, которые могут работать при температуре свыше 100 °C и не имеют отечественных аналогов, уже в третьем квартале. Такие моторы планируют использовать в механизмах и технике, которая работает в экстремальных ус...

В России запустят контрактное производство квантовых процессоров В пресс-службе Министерства науки и высшего образования Российской Федерации сообщили, что МГТУ им. Н. Э. Баумана и ВНИИА им. Н. Л. Духова готовятся к запуску первого в России контрактного производства сверхпроводниковых квантовых процессоров. Это событие станет важным шагом...

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Google оснастит Pixel 9 более производительным чипом Процессор Tensor G4, скорее всего, будет использоваться в смартфонах Pixel 9 и Pixel 9 Pro, которые компания Google представит в конце этого года, и хотя процессоры Google исторически показывали более низкие показатели производительности и эффективности по сравнению с конкур...

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Apple разрабатывает совершенно новые процессоры Сегодня появилась информация о том, что компания Apple активно работает над расширением производственных мощностей по технологии упаковки CoWoS, которая будет использоваться в ближайшем будущем для чипов нового поколения. Кроме того, инсайдеры уверены в том, что технологичес...

В России представили первый сервер отечественного производства на базе процессоров Intel Xeon нового поколения Российская компания разработчик и производитель IT-оборудования Delta Computers представила Delta Serval, первый в России сервер на базе процессоров Intel Xeon Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids. Как подчеркнули в пресс-службе, он по...

Зеленский заявил, что на Украине создаётся «первый в мире» флот морских беспилотников И применяться этот «флот» будет понятно, для чего.

Ryzen 7 9700X будет намного мощнее предшественника? Новый восьмиядерный CPU AMD имеет TDP 170 Вт, как актуальные модели с 12 и 16 ядрами Вчера мы говорили о том, что процессоры AMD на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании. Это были исключительно мобильные APU, а теперь такая же информация появилась и относительно настольных моделей.  Новое поколение настольных Ryze...

Это явно изменит мир. Глава OpenAI Сэм Альтман ищет 5-7 трлн долларов инвестиций для постройки фабрик по выпуску чипов для ускорителей для ИИ Глава OpenAI Сэм Альтман (Sam Altman), как мы ранее уже сообщали, ищет инвестиции для постройки фабрик, специально предназначенных для производства чипов для ускорителей для ИИ. Оказалось, что видение Альтмана заключается в необходимости выделить на это колоссальные сре...

Появились первые тесты процессора AMD Ryzen 7 7840S Сегодня китайский сайт опубликовал полноценный обзор нового ноутбука Lenovo Yoga Air 14s 2023 Ryzen Edition, оснащённого эксклюзивным процессором AMD Ryzen 7 7840S, который был спроектирован и разработан специально для Lenovo. Этот чип, основанный на архитектуре Zen 4, имеет...

Возможности нейлона в 3D-печати Нейлон (также известный как капрон, капролон или полиамид) – это не один материал, а целое семейство полиамидов (PA). Существует множество категорий нейлона, и в этих категориях существуют различные виды и бренды. Однако, сегодня мы говорим только о полиамиде, доступном для ...

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

“Made in China”: легким движением руки процессоры Intel Xeon превращаются в китайские чипы Китайские бренды не раз были замечены за копированием западных и не только разработок, будь то дизайн одежды или технологии. И речь не только о дешевых копиях вещей с Aliexpress, с которыми каждый из нас сталкивался, в отрасли производства и разработки электроники такое тож...

Российские ученые создали металлические ткани для космических антенн Ученые из Российского государственного университета им. А. Н. Косыгина разработали новую технологию производства металлотрикотажных тканей. Эти гибкие и легкие материалы, связанные из металлической микропроволоки, применяются в конструкциях крупных антенн для спутников и кос...

Стало известно, когда в Lada Vesta вернётся «автомат» Представители АвтоВАЗ в рамках презентации модели Lada Vesta Sportline в Грозном рассказали, когда появится на рынке появится версия Vesta NG с автоматической трансмиссией. Как пишет RCI News, это произойдёт в первом квартале 2024 года. Lada Vesta NG получит китайский в...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

Асбест в алкоголе: как «горный лен» проник в мир дорогих напитков Промышленное применение асбеста в мире не исчерпывается строительными материалами, фрикционными прокладками или сложными системами термоизоляции. «Горный лен» активно применяется при производстве более чем 300 видов продукции, среди которой есть отдельный класс — фильтры на ...

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Процессоры Arrow Lake от Intel будут основаны на новой архитектуре Xe-LPG Plus с XMX Согласно последним данным от Coelacanth-Dream, процессоры Intel Arrow Lake, ожидаемые в следующем году, будут оснащены графическим процессором Arc Xe-LPG Plus, который представляет собой модернизированную версию используемого в процессорах Meteor Lake.

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Стоит ли в 2024 году покупать смартфоны Samsung на процессорах Exynos Сегодня, когда подавляющее большинство Android-устройств работает на процессорах MediaTek или Qualcomm Snapdragon, а HUAWEI вот уже несколько лет находится под санкциями США, Samsung остается единственным брендом на глобальном рынке, который выпускает смартфоны на собственн...

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Ученым впервые удалось использовать лазер в качестве зарядного устройства Такой способ подзарядки будет применяться в микро- и наноспутниках.

Defense One: Системы Starlink теперь будут применяться ВС России против ВСУ Кто бы мог подумать, что в некоторые игры на российской СВО можно играть вдвоём

Когда тебе принадлежит целая Arm, которая может создать тебе чип для ИИ. Компания разработает для Softbank процессор для искусственного интеллекта Компания Arm известна в первую очередь своей архитектурой и эталонными ядрами CPU и GPU. Теперь же на фоне бума ИИ компания намерена разработать собственный процессор ИИ.  Нужен он не самой Arm, а компании Softbank, которая владеет Arm. Softbank будет использовать...

Сделано в Казахстане. Автомобили Skoda теперь будут собирать рядом с Россией Казахстанская торгово-промышленная группа Allur займется производством автомобилей Skoda, причем контракт с Volkswagen Group уже подписан. До конца года с конвейера сойдут первые Skoda казахстанской сборки. Но пока что не сообщается, где именно они будут собираться и о ...

Ryzen 7000 станут «старыми» уже совсем скоро? Массовое производство CPU нового поколения на архитектуре Zen 5 уже стартовало Пока неизвестно, когда AMD представит процессоры Ryzen нового поколения на архитектуре Zen 5, но сообщается, что их массовое производство уже стартовало.  Об этом написал известный инсайдер Kepler в ответ на соответствующий вопрос. К сожалению, без каких-либо подр...

Мощные GPU в Китае: полное самообеспечение к 2027 году. Реально ли? Правительство Пекина планирует предоставить субсидии компаниям, которые покупают чипы внутренних производителей с целью «ускорения поставки управляемых интеллектуальных вычислительных ресурсов». Особенное внимание уделяется процессорам GPU, на производство и продажу которых ...

МТС организовал конструкторское бюро для разработки автоэлектроники Российский оператор связи МТС создал компанию «МТС Айкар» с уставным капиталом в 10 млн рублей. Новая компания станет собственным конструкторским бюро МТС для разработки и внедрения электроники для автопрома, она войдёт в подразделение «МТС авто»...

Samsung Galaxy A55 разочаровал своей производительностью На прошлой неделе в сети появились первые результаты теста смартфона Galaxy A55 в Geekbench 6, но это были тесты, запущенные не на самом устройстве Galaxy A55, а на некой тестовой инженерной платформе. Но теперь ситуация изменилась, так как прототип Galaxy A55 тоже протестир...

Из чего состоит и как производится российская система ESP? «За рулем» опубликовал большой репортаж с производства в Костроме Максим Кадаков, главный редактор издания «За рулем», посетил завод компании «Итэлма» в Костроме и рассказал о том, что из себя представляет российская система ESP (она же ESC). Изображение: «За рулем» Система ESP сильно отличается от...

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Инженеры СПбПУ создали технологию производства композитов с непрерывным углеволокном для экструзионной 3D-печати Специалисты Передовой инженерной школы «Цифровой инжиниринг» Санкт-Петербургского политехнического университета Петра Великого разработали опытно-промышленную технологию изготовления филаментов из непрерывного углеродного волокна на основе тугоплавких конструкционных термопл...

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

27-летнюю Windows NT 4.0 запустили на современном процессоре Intel без эмуляции Современные потребительские процессоры не поддерживают очень старые версии Windows. Это отлично знают любители старых компьютерных игр, которым под их задачи приходится собирать ПК для ретрогейминга. Однако энтузиаст с псевдонимом O_Mores сумел запустить Windows NT 4.0 ...

На Switch 2 для большинства игр будет применяться масштабирование картинки Инсайдер Moore's Law is Dead со ссылкой на отраслевые источники рассказал, что для большинства игр на консоли Nintendo Switch 2 будет использоваться технология апскейлинга NVIDIA DLSS.

Türkiye: Турция планирует разместить купленные у России ЗРК С-400 на границе с Ираком Они буду применяться против воздушных угроз, исходящих от ячеек Рабочей партии Курдистана.

Тесты новейшего процессора Loongson 3A6000 Недавно был представлен 8-ядерный процессор следующего Loongson 3A6000 на базе ядра LA664, а знакомый энтузиаст смог приобрести плату на данном процессоре (модель процессора LS3A6000-HV и чипсет LS7A2000). Читать далее

Первое в Росссии контрактное производство сверхпроводниковых квантовых процессоров запустит Бауманка МГТУ им. Н. Э. Баумана и ФГУП «ВНИИА им. Н. Л. Духова» анонсировали открытие первого в России контрактного производства сверхпроводниковых квантовых процессоров на 100-мм пластинах. Производство будет располагаться в новом кампусе МГТУ им. Баумана ...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

«Первые тысячи FPV-дронов, первые сотни агродронов и дронов других применений», — массовое производство БПЛА стартует в Самарской области Генеральный директор компании «Транспорт будущего» Юрий Козаренко заявил, что до конца текущего года предприятие запустит серийное производство беспилотников на площадке в Самарской области. «До конца года мы уже запускаем корпуса. Мы начинаем серийное...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Эти процессоры Intel в конце 2024 года предложат максимум четыре больших и четыре малых ядра. Cirrus Logic поможет сделать платформу Lunar Lake эффективнее Компания Intel уже говорила, что создаёт мобильные процессоры Lunar Lake в первую очередь с большим упором на энергоэффективность. Оказалось, что в этом вопросе Intel будет полагаться не только на себя.  фото: Intel Повысить энергоэффективность платформы Lunar Lak...

Intel завершает производство графического процессора Ponte Vecchio Теперь компания Intel сосредоточится на ускорителях Gaudi 2/3 и Falcon Shores.

Для производства GeForce RTX 4070 используют не только графический процессор AD104, но и AD103 Для этого потребовалось отключить около 42...43% вычислительных блоков.

Российские ученые создали новый полимер для искусственной кожи Ученые из Университета "Сириус" в России разрабатывают инновационные полимерные материалы, которые будут применяться в сфере биомедицины. Эти материалы не только могут быть использованы для создания имплантатов, но и в работе с мягкими тканями человеческого организма, пишет ...

Euro NCAP снизит рейтинг безопасности автомобилей за обилие сенсорных экранов Европейский комитет по оценке безопасности автомобилей (Euro NCAP) объявил о новых критериях безопасности, которые будут применяться с 2026 года.

«Ростех» сообщил о запуске серийного производства электродвигателей для БПЛА Заниматься производством будет концерн «Радиоэлектронные технологии».

Россия готовится к освоению производства 28-нм чипов до 2027 года и 14-нм чипов до 2030 года Министерство промышленности и торговли Российской Федерации планирует, что к 2027 году будет освоено производство 28-нм чипов

Графический процессор Apple M4 не дает прироста по сравнению с M3 Хотя разница между процессорами может быть значительна, утверждается, что производительность графического процессора M4 и M3 одинакова.

Обзор корпусных вентиляторов ID-Cooling AS-140-K Сегодня на рынке ПК-комплектующих немного странная ситуация: раньше компьютеры были огромными и требующими сложного обслуживания, но со временем стали уменьшаться, благодаря чему смогли стать персональными, но сейчас многие компоненты снова увеличиваются в размерах и стано...

А вот эти новые процессоры AMD уже выглядят намного более интересно. AMD немного рассказала о Ryzen поколения Strix Point Процессоры Ryzen 8000U/H/HS могут разочаровать отсутствием хоть каких-то значимых улучшений, однако это далеко не все новинки 2024 модельного года, которые готовит AMD. Линейка Strix Point будет намного интереснее.  Согласно слайдам AMD, эта линейка также выйдет в...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

Samsung представила самую быструю оперативную память в классе — LPDDR5X с эффективной частотой 10,7 ГГц Компания Samsung объявила о разработке первой в отрасли оперативной памяти LPDDR5X с эффективной частотой до 10,7 ГГц.   Микросхемы такой памяти производятся по техпроцессу 12-нанометрового класса, благодаря чему это самые маленькие чипы LPDDR среди существующих. ...

На Украине задержаны командиры трёх батальонов, причастные к отводу своих подразделений без приказа Сообщается, что данные бригады будут расформированы. Данная практика будет применяться ко всем непослушным подразделениям

MediaTek и Nvidia совместно разрабатывают ARM процессор для платформы Windows Производством чипов занимается TSMC, а выход в продажу запланирован на 2025 год.

В России планируют освоить производство 28-нм процессоров к 2027 году Такие чипы выпускались крупнейшими производителями еще в 2009–2010 годах

Процессоры Intel 15-го поколения Arrow Lake и AMD Ryzen 9000 Zen 5 выйдут в 3-м квартале 2024 года Эта информация получена с китайских форумов по производству плат.

Loongson переходит на 7-нм нормы – новый процессор 3A7000 выпустит китайская SMIC Производство стартует не раньше 2025 года

В России решили одну из самых больших проблем с электросамокатчиками Технология называется «Антитандем», она была разработана в России, в ней применяются методы машинного обучения и искусственный интеллект.

ASML и TSMC могут дистанционно отключить производство чипов при необходимости Похоже, что такой способ был специально придуман на случай перехода производства под контроль Китая.

Процессор Samsung Exynos 2400 показали в бенчмарке Сегодня достаточно надёжный инсайдер поделился интересными подробностями о процессоре Exynos 2400, который, предположительно, будет использоваться в будущей серии смартфонов Galaxy S24. Правда, стоит сразу отметить, что чип будет задействован лишь в некоторых регионах, а не ...

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

OpenYard открыла производство российских серверов – 60 000 единиц в год с процессорами Ampere Массовое производство уже развёрнуто, а продукция доступна для заказа

Intel готовится к производству процессоров по 2-нм нормам – чипы на базе Intel 18A появятся в 2025г Глава Intel рассказал о планах компании на несколько лет

AMD может доверить выпуск 4-нм процессоров компании Samsung Образцы уже существуют, а серийное производство стартует в следующем году.

HUAWEI представила новый 5-нм чип Kirin 9006C Компания стремительно набирает обороты в производстве собственных процессоров для мобильных устройств.

Яндекс и МГУ подготовят специалистов по медиакоммуникациям с навыками работы с генеративными нейросетями Выпускники будут уверенно работать с генеративными инструментами, которые уже сегодня активно применяются в медиа. Набор начнётся этим летом.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Samsung раскрыла характеристики процессора Exynos 2400 Сегодня компания Samsung Semiconductor провела мероприятие System LSI Tech Day в Калифорнии, где производитель чипов рассказал много интересной информации о грядущем флагманском мобильном процессоре Exynos 2400. Например, Samsung утверждает, что Exynos 2400 предлагает увели...

Red Hat Enterprise Linux 9.4: что нового в релизе и что изменилось? Работа команды Red Hat над Red Hat Enterprise Linux продолжается — на днях была опубликована новая версия, 9.4. Она характеризуется относительно открытым процессом разработки, а в качестве основы применяется пакетная база CentOS Stream 9. Это «долгоиграющий» дистрибутив, под...

Intel начала массовое производство технологии 3D-упаковки Foveros Intel объявила о масштабном производстве на основе ведущих в отрасли решений по полупроводниковой упаковке, включая новую технологию 3D-упаковки Foveros. Эта технология была запущена на заводе Fab 9 в штате Нью-Мексико, США, который недавно был обновлен Intel.

Шойгу подвёл итоги 2023 года по наращиванию военного производства и поставил новые задачи ОПК В 2024 году ставка оборонных предприятий будет сделана на производство высокоточных боеприпасов.

В США сокращают закупку супербомбардировщиков B-21 задолго до завершения их производства Планы на производство 100 самолетов не будут реализованы до середины или конца 2030-х годов, что свидетельствует о низком темпе их сборки.

Один из этапов производства отечественных процессоров Baikal переведут в Россию Производитель процессоров Baikal перенесет один из финальных этапов сборки процессоров в Россию

Тут у AMD, как и у Intel, ожидается минимум изменений. Линейка процессоров Ryzen 8000 частично раскрыта Процессоры AMD Hawk Point засветились в Сети. Точнее, пока только их названия.  Собственно, ничего неожиданного в названиях нет. Новая мобильная линейка, как и ожидалось, будет называться Ryzen 8000, а входить в неё будут APU Ryzen 8000H/HS/U.  Уже сейчас изв...

Lada Vesta Sport получит китайскую МКПП WanLiYang. Эта же компания будет поставлять вариатор для Vesta NG Шестиступенчатая механическая коробка переключения передач появится на Lada Vesta NG в 2024 году, о чем сообщил Максим Кадаков из журнала «За Рулем» при обзоре Lada Vesta NG SportLine. Сначала она будет устанавливаться на Lada Vesta Sport, а затем и на ...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

Новые OLED-телевизоры LG получат новейший чип Alpha 10. Его будут применять и в умной бытовой технике LG Как пишет IT Home со ссылкой на Flatpanelshd, процессор OLED-телевизора LG 2024 года был значительно модернизирован и получил название Alpha 10. В 2018 году LG выпустила процессор Alpha 9 для OLED-телевизоров. Эта серия процессоров с годами модернизировалась и достигла ...

Ростех создает более 20 «умных» цехов по производству авиадвигателей для гражданской авиации Объединенная двигателестроительная корпорация (входит в Госкорпорацию Ростех) создает на рыбинском предприятии «ОДК-Сатурн» систему управления производством «Умный цех» на основе Big Data и промышленного интернета вещей. В единую информационную систему будут объединены 23 це...

В «Бауманке» запускают первое в России контрактное производство квантовых процессоров В будущем производство сверхпроводниковых квантовых процессоров в МГТУ им. Н.Э. Баумана планируют масштабировать.

Huawei выпустила ноутбук с фирменным чипом Kirin 9006C Ноутбук Qingyun L540 - это новейшая разработка Huawei с процессором собственного производства Kirin и с двумя вариантами операционной системы UOS и Galaxy Kirin на базе Linux.

Процессоры AMD Zen 5 поступят в массовое производство в третьем квартале 2024 года Предполагается, что новые процессоры обеспечать прирост производительности до 10 процентов по сравнению с чипами Ryzen 7000

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

В российских ПК появятся китайские процессоры Loongson Российская «Норси-Транс» начнет выпуск различного оборудования на китайских процессорах Loongson, о чем сообщает «Коммерсантъ». Гендиректор «Норси-Транс» Сергей Овчинников рассказал, что на форуме «Микроэлектроника», котор...

Мировые поставки игровых видеокарт GeForce падают. Возможно, из-за роста объёмов производства ускорителей Nvidia для ИИ Похоже, ситуация с дефицитом игровых видеокарт GeForce из-за акцента Nvidia на ускорителях для ИИ не просто возможна, а уже имеет место.  Как сообщается, мировые поставки игровых графических процессоров Nvidia начали резко сокращаться. Ссылаясь на источники в тайв...

TSMC представила техпроцесс в 1,6 нм Сегодня компания TSMC официально объявила о запуске своего передового производственного процесса в 1.6 нм для чипов. Стоит отметить, что данная технология также включает сеть подачи энергии посредством бэкплейта, что должно улучшить энергоэффективность и повысить плотность т...

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

NVIDIA прекратила производство графических процессоров серии GeForce GTX 16 Отчасти это связано с представлением более новых моделей, включая RTX 3050, которые имеют более урезанные характеристики

Intel исследует возможность производства квантовых процессоров на основе кремния Корпорация Intel занимается исследованием квантовых процессоров на основе кремния с целью создания масштабируемых устройств.

OpenAI хочет создать собственные процессоры искусственного интеллекта В настоящее время ведется поиск инвесторов, готовых вложиться в производство

Test Jig. Подготовка к массовому производству По какой-то непонятно причине в ru сегменте довольно мало информации о том, что такое Test Jig и зачем он нужен. Он используется в массовом производстве электронных устройств, даже не содержащих микроконтроллер. Каждая плата, прошедшая сборочный конвейер, должна быть протест...

Huawei готовится заменить Intel? Компания работает над процессором для ПК, который якобы сможет конкурировать с Apple M2 и M3 Если говорить о процессорах, компания Huawei известна в первую очередь своими SoC Kirin для смартфонов. Но у неё есть и чипы для других сегментов. Как сообщается, Huawei собирается выпустить процессор для ПК.  Названия у него пока нет, но инсайдер Fixed Focus Digi...

24-ядерный Intel Core i9-14900KS разогнали до 9,1 ГГц, и это новый рекорд Прошлый рекорд разгона процессора Intel (в октябре Core i9-14900KF покорилась частота 9044 МГц) побит: команда оверклокеров Asus ROG разогнала новейший 24-ядерный Core i9-14900KS до частоты 9117 МГц. Изображение: Videocardz В эксперименте участвовала системная плата RO...

Обзор Huawei Pura 70 Ultra. Чем интересен новый флагман Huawei и какие технологии в нём применяются Всем привет! Меня зовут Александр, и я уже лет 10 занимаюсь изучением смартфонов, их железа и камер. Коллеги с Хабра попросили меня изучить Huawei Pura 70 Ultra. Отказываться не хотелось — в смартфонах Huawei традиционно одни из самых продвинутых нейросетей на рынке, а в лин...

Nvidia прекращает производство графических процессоров GeForce GTX Время видеокарт Nvidia GeForce GTX постепенно подходит к концу. Начиная с апреля, Nvidia не хочет больше выпускать карты серии GTX.

Huawei получит передовые ИИ-процессоры, несмотря на санкции со стороны США Китайская компания SMIC, занимающаяся производством полупроводниковой продукции, изготовит для Huawei, а также для других местных брендов, новые ИИ-процессоры Ascend 920.

Huawei укрепляет позиции на рынке ИИ-чипов несмотря на санкции США Несмотря на санкции, Huawei активно наращивает производство собственных ИИ-чипов и укрепляет позиции на рынке. Компания выпустила мощный процессор Ascend 910B для ИИ, сопоставимый с флагманским чипом Nvidia A100.

Российские инженеры начали производство конкурента Raspberry Pi из отечественных компонентов Одноплатный компьютер NMS-SBC-BKLT на процессоре Baikal T1000 запущен в производство и имеет широкую область применения.

Samsung Galaxy Book 4 Edge протестировали в бенчмарке Сегодня в сети появилась информация о том, что гаджет Samsung Galaxy Book 4 Edge с процессором Qualcomm Snapdragon X Elite был протестирован в синтетическом тесте Geekbench 6, и, согласно предварительным данным, этот ноутбук на операционной системе Windows будет иметь 14-дюй...

Производство Skoda Octavia, Kamiq, Karoq и Kodiaq запускают в Казахстане, но везти такие машины в Россию будет невыгодно, считает 74.ru Skoda и завод Allur подписали соглашение о начале производства автомобилей Skoda в Казахстане. Изначально они будут выпускаться методом крупноузловой сборки, но уже в конце года будет рассмотрена возможность CKD-производства со сваркой и окраской. В этом году планирует...

Чуда не произошло: «китайский» 5-нм процессор Kirin 9006C выпускала фабрика TSMC В конце прошлого года на Хабре публиковалась новость о том, что компания Huawei представила ноутбук Qingyun L540 с процессором Kirin 9006C. О его происхождении, т. е. компании, которая поставила чипы, не было известно, но ряд СМИ сделал предположение, что всё это удалос...

Производство композиционных материалов в Томске увеличилось в 1,2 раза Научно-производственная компания «Полимер-компаунд» в Томске увеличила производство композитных материалов благодаря поддержке Фонда развития промышленности. Новое отделение будет заниматься производством материалов для различных отраслей, таких как кабельная, электротехниче...

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

Nvidia не хочет снижения цен на видеокарты RTX 40. Компания снизит производство GPU в преддверии запуска RTX 50 и высвободит мощности для H100 Компания Nvidia якобы уже готовится сокращать поставки GPU поколения RTX 40 в преддверии выхода линейки RTX 50.  Ресурс Quazarzone говорит, что Nvidia уже сообщила своим партнёрам, что поставки графических процессоров резко сократятся. Правда, не уточняется, каких...

TSMC удвоит производство процессоров для ИИ По сообщениям западных журналистов, компания TSMC вкладывает огромные инвестиции в расширение производственных мощностей, потому что компания хочет в текущем году удвоить выпуск полупроводниковой продукции — особенно в области производства CoWoS. Упаковка CoWoS считается важ...

Росатом создает российско-белорусский центр аддитивных технологий Центр будет оснащен 3D-принтерами производства Росатома и займется производством комплектующих для белорусских предприятий.

Новая функция AI Explorer в Windows 11 будет доступна только для Arm-процессоров AI Explorer сначала будет доступен только на новых компьютерах с процессорами Arm, поскольку в системных требованиях в качестве базового указывается новый процессор Qualcomm Snapdragon X Elite.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Гидравлические щипцы, ультразвук и рубиновый шарик. АвтоВАЗ рассказал, как проверяет кузова на производстве АвтоВАЗ описал то, как проводится на заводе проверка качества собранных кузовов. Для этого применяются три способа. Сварку в конкретных местах проверяют при помощи ультразвука, а весь кузов целиком можно проверить по его соответствию математической модели. Для этого и...

Процессор Snapdragon X будет быстрее Apple M2 Qualcomm объявила о ребрендинге своих процессоров для ПК, которые теперь будут называться серией Snapdragon X, чтобы лучше отличать их от других линеек продуктов компании. Помимо названия первый чип серии Snapdragon X станет достаточно производительным, чтобы составить…

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

СМИ: F-16 ВСУ будут сбивать дальнобойные ракеты 40Н6 ЗРК С-400 Одним из основных средств уничтожения фронтовых истребителей F-16, которые Запад планируют поставить Украине, станут самые совершенные в своем классе дальнобойные ракеты 40Н6 ЗРК С-400, которые уже успешно применяются в ходе спецоперации, рассказал РИА Новости информированны...

СМИ: F-16 ВСУ будут сбивать дальнобойные ракеты 40Н6 ЗРК С-400 Одним из основных средств уничтожения фронтовых истребителей F-16, которые Запад планируют поставить Украине, станут самые совершенные в своем классе дальнобойные ракеты 40Н6 ЗРК С-400, которые уже успешно применяются в ходе спецоперации, рассказал РИА Новости информированны...

Новым процессорам Intel Core 14-го поколения можно будет разрешить работать при температуре до 115 градусов. Как минимум на платах MSI Процессоры Alder Lake и Raptor Lake выделяются очень большим энергопотреблением и нагревом. Raptor Lake Refresh из-за повышенных частот и отсутствия каких-то изменений в архитектуре будут потреблять и греться ещё больше. И при желании в некоторых случаях можно будет поз...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

16-дюймовый топовый Asus на новейшем 12-ядерном APU Ryzen. В Сети засветился ноутбук ROG Zephyrus G16 на основе процессора Strix Point Топовый игровой ноутбук Asus ROG Zephyrus G16 с пока ещё неанонсированным процессором AMD Strix Point засветился в Сети с ценой 3700 долларов.  Само собой, купить его нельзя, но обилие утечек в последнее время намекает на скорый анонс.  В описании ПК указано,...

Процессоры Apple M4 будут ориентированы на работу с ИИ Предполагается, что Apple опять представит три модели процессоров

Записи в драйверах раскрыли информацию о линейке процессоров Snapdragon X Процессоры будут разделены на две линейки: Elite и Plus

Intel Core Ultra 9 285K «Arrow Lake» будет работать на частоте около 5,5 ГГц По слухам, грядущий процессор Intel Core Ultra 9 285K «Arrow Lake» для настольных ПК будет работать на частоте около 5,5 ГГц, что меньше, чем у существующих процессоров Raptor Lake

Первый экзафлопсный суперкомпьютер в Европе будет работать на ARM-процессорах вместо x86 Суперкомпьютер Jupiter будет оснащен процессорами Rhea ARM и графическими процессорами Nvidia.

Intel анонсировала процессоры Granite Rapids-D Xeon, которые выйдут в 2025 году На выставке MWC 2024 Intel официально анонсировала процессор Granite Rapids-D Xeon, который будет официально выпущен в следующем году для телекоммуникаций и других областей.

Tecno POVA 6 Pro появился в базе данных Geekbench Учитывая, что в Geekbench упоминается графический процессор Mali G57, ожидается, что смартфон будет работать на процессоре MediaTek Dimensity 6080

Процессоры Intel Arrow Lake получат графический процессор Xe-LPG PLUS Графический процессор грядущих чипов Arrow Lake Xe-LPG PLUS будет иметь блок XMX для работы с технологией XeSS

SK Hynix начинает серийное производство первой в отрасли памяти HBM3E Компания SK hynix Inc. объявила о начале серийного производства HBM3E, новейшего продукта памяти AI со сверхвысокой производительностью, который будет поставляться заказчику с конца марта

AMD Zen 5 Granite Ridge: новое поколение Ryzen войдет в массовое производство для AM5 в 2024 году Процессоры AMD следующего поколения Ryzen Zen 5 «Granite Ridge», поступают в массовое производство

Серверные процессоры AMD Zen 6 будут иметь до 32 ядер в каждом CCD-чиплете Но ждать более 32 ядер в десктопных процессорах не стоит.

Появилось изображение процессора AMD 5-го поколения EPYC "Turin" Грядущий серверный процессор AMD 5-го поколения EPYC "Turin" был изображен в виде инженерного образца

Чип для Android-флагманов конца 2024 года от Qualcomm будет частично мощнее «компьютерного» процессора Apple M2 Qualcomm активно работает над новым процессором — Snapdragon 8 Gen 4.

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. AMD выпустит процессоры на архитектуре Zen 5 в этом году. Топовые системные платы будут дорогими, с поддержкой USB4 и контроллером ASMedia

Функция AI Explorer в Windows 11 будет работать только на ПК с Arm-процессорами Инсайдеры рассказали, что функция AI Explorer в Windows 11 сборки 24H2 будет доступна не на всех ПК. А только на оборудованных высокопроизводительными процессорами ARM64 с NPU (нейропроцессор).

Colorful выпустит игровые ноутбуки линейки MEOW с процессорами AMD Ryzen 8040 Выпускаться ноутбуки будут под брендом Colorfire и впервые получат процессоры от AMD

Loongson начала поставки ПК с процессором 3A6000 Данные процессоры будут использоваться в компьютерах для внутреннего рынка Китая

Производительность процессоров AMD Zen 5 может вырасти на 40% в сравнении с Zen 4 Процессоры из линейки Ryzen 9000, которые будут использовать данные ядра, выйдут уже в этом году

В России впервые создали технологию и установку по производству филамента для ракетно-космической и авиационной отраслей В России впервые разработали опытно-промышленную технологию изготовления филамента — материала, используемого для 3D-печати — из непрерывного углеродного волокна на основе термопластов. Кандидат технических наук, заведующий лабораторией «Полимерные ком...

Росатом выпустил все необходимые реакторы для новых российских ледоколов По сообщению пресс-службы Росатома, на производственной площадке в Подольске завершено изготовление второго корпуса реактора РИТМ-200 для универсального атомного ледокола проекта 22220 «Чукотка». Это десятый и последний реактор, который изготовил завод ...

Самые кошачьи ноутбуки? Colorful готовит мобильные ПК MEOW с процессорами AMD Компания Colorful готовится выпустить ноутбуки семейства MEOW с процессорами AMD.  Полноценный анонс запланирован на 23 февраля, но ноутбуки уже проходят сертификацию. Известно о двух моделях: MEOW R15 24 и MEOW R16 24, оснащённых экранами диагональю 15 и 16 дюймо...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Samsung строит умные фабрики по производству чипов — собирать микросхемы будет исключительно ИИ Южнокорейский производитель микросхем намерен использовать "умные" технологии и полностью исключить людей из процесса производства кристаллов

Эксперт сравнил процессоры Intel Core i9-9900K и Intel Core i3-13100F в 10 играх Intel Core i9-9900K был флагманской моделью 5 лет назад. Intel Core i3-13100F является самым доступным актуальным процессором Intel.

Процессоры Core Ultra 200 на сокете LGA 1851 выйдут осенью и будут поддерживать только DDR5 Очередная веха в истории развития процессоров для ПК

AMD готовит к выходу процессоры EPYC 4004 для сокета AM5 Новая линейка процессоров будет похожа на потребительские чипы и получит до 16 ядер

Lenovo ThinkBook 16+ 2024 обзавелся процессорами Intel Core 12 и приятной ценой Lenovo анонсировала ноутбук ThinkBook 16+ 2024 с процессорами Intel Core 12-го поколения. Ноутбук будет уже доступен для предварительного заказа с 18 января 2024 года.

В России наращивают производство материнских плат на процессорах Intel и AMD предыдущих поколений — на новых делать нет возможности Производители электроники в России наращивают инвестиции и производство материнских плат. Основная часть продукции ориентирована на чипы Intel и AMD предыдущих поколений, о чем сообщает «Коммерсантъ» со ссылкой на представителей отрасли. Компания GS Gro...

Китай прорывает блокаду в битве за чипы? Страна планирует удвоить производство в течение пяти лет Масштабная конкуренция между производителями микросхем усиливается из-за весьма активного наращивания производственных мощностей Китаем. Поднебесная прикладывает серьезные усилия для достижения ведущей роли в индустрии разработки и производства электроники. Потребители от т...

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Новый китайский аналоговый процессор в 3000 раз быстрее GPU A100 от Nvidia. Что это за чип и для чего он нужен? Именно это преимущество нового чипа указывают в статье, описывающей новое изобретение, китайские разработчики. Команда ученых из университета Цинхуа создала аналоговый фотоэлектронный чип. По мнению самих разработчиков, этот чип способен вывести отрасль машинного зрения на ...

Процессоры AMD Ryzen Zen 5 Granite Ridge поступят в продажу во второй половине 2024 года Новые процессоры Ryzen будут выпущены одновременно с появлением на рынке настольных процессоров Arrow Lake-S от Intel

Какие процессоры получат смартфоны Samsung линейки Galaxy S25 Samsung продолжит использовать два разных процессора в своих флагманских смартфонах. Galaxy S25, как и S24, будут доступны с чипсетами Exynos 2500 и Snapdragon 8 Gen 4.

Intel объявила о ребрендинге процессоров Xeon Первыми получат новые наименования процессоры линейки Sierra Forest, которые теперь будут называться просто Xeon 6

Ожидается, что процессор Intel i9-14900KS Limited Edition будет выпущен в середине марта Процессоры Intel 14-го поколения серии "Raptor Lake Refresh" дебютировали в форме SKU для энтузиастов в октябре прошлого года

AMD подтвердила запуск процессоров Strix Point в 2024 году Новые процессоры будут использовать архитектуры Zen5 и RDNA3+ и утроят производительность в области искусственного интеллекта

Xiaomi вскоре выпустит ноутбук на базе процессора Intel Meteor Lake Компания Xiaomi работает над новым ноутбуком, в основе которого будет лежать неанонсированный процессор Intel Meteor Lake.

Назван лучший процессор для эмуляции легендарных консолей Nintendo Switch, PS3 и Xbox 360 AMD Ryzen 7 8700G был признан идеальным процессором для эмуляции игр с именитых игровых консолей, таких как Nintendo Switch, PS3 и Xbox 360.

Intel анонсировала 288-ядерный процессор Sierra Forest Новая линейка процессоров Xeon предназначена для периферийных сетей и будет отличаться высокой энергоэффективностью

Китайцы больше не нужны: разработчики процессоров Baikal локализуют важнейший этап производства — корпусировании чипов Разработчик процессоров Baikal компания «Байкал электроникс» расширит эксперимент по корпусированию своих чипов в России. Еще в ноябре 2021 компания начала тестировать этот технологический процесс на мощностях российского холдинга GS Group в Калининградской ...

Ким Чен Ын проинспектировал работу завода по производству тактических ракетных систем Верховный лидер КНДР Ким Чен Ын посетил оборонное предприятие, специализирующееся на производстве тактических ракетных систем. Он заявил об успешном выполнении планов производства на первом полугодии и наметил увеличенные цели на конец года.

ИИ оказался «слишком» хорош в спорте Роботы, занимающиеся спортом, уже давно перестали быть предметом научной фантастики или маркетинговых уловок, поскольку они успешно применяются в различных видах спорта. Хотя большинство таких роботов действуют по заранее запрограммированным сценариям, некоторые из них проде...

Apple снижает заказы процессоров из-за низкого спроса Согласно последнему отчёту известного аналитика мобильного рынка Минг-Чи Куо, Apple снижает заказы на производство чипов по технологическому процессу в 3 нанометра примерно на треть. Более того, компания Qualcomm также уменьшает объёмы производства и в конечном итоге объёмы ...

Intel избавится от Hyper-Threading, но это нестрашно? Процессоры Lunar Lake и без гиперпоточности будут в полтора раза быстрее Meteor Lake Процессоры Intel Lunar Lake будут лишены поддержки Hyper-Threading, согласно имеющейся сейчас информации. Несмотря на это, судя по свежим утечкам, они будут намного быстрее Meteor Lake.  Инсайдер Bionic_Squash утверждает, в многопоточном режиме работающий в режиме...

Huawei больше не может покупать чипы Qualcomm и Intel Согласно информации издания Reuters, правительство США отозвало лицензии Qualcomm и Intel на продажу чипов компании Huawei. Это значит, что компания Huawei больше не сможет официально покупать и использовать чипы от Qualcomm или Intel, что, по словам специалистов, практическ...

Intel доигралась и больше не будет заставлять свои процессоры работать на сверхвысоких частотах? Core Ultra 9 285K приписывают частоту 5,5 ГГц На фоне истории со сбоями в работе топовых процессоров Core i9 мы предполагали, что это может повлиять на грядущие CPU Arrow Lake-S. Возможно, сейчас мы получили этому первое подтверждение.  Как сообщается, топовый процессор Core Ultra 9 285K, который мог бы называ...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Китайские производители процессоров решили отказаться от импортных материалов В Китае началась ещё более масштабная и «агрессивная» реализация политики полной замены импортных материалов для производства процессоров на фоне торговых санкций США.

В списке Geekbench найдена глобальная версия Realme 12+ 5G с чипсетом Dimensity 7050 Согласно сайту Geekbench, Realme 12+ 5G будет иметь процессор с номером модели MT6877V/TTZA, что соответствует мобильному процессору MediaTek.

Смартфон Huawei Nova 13 Pro и Nova 13 Ultra могут быть оснащены процессором Kirin 9010 Данный процессор станет одним из первых мобильных чипов, произведенных по 5-нм технологическому процессу в SMIС.

HP анонсировала серию ноутбуков ZBook Firefly G11 с процессорами Intel Core Ultra и AMD Ryzen 8040HS Ноутбуки также получат графический процессор NVIDIA RTX A500 pro, а некоторые варианты будут иметь дисплей OLED с разрешением 2,8K.

Android-флагманы 2024 года будут жить дольше от одного заряда благодаря процессору Snapdragon 8 Gen 3 Инсайдеры раскрыли свежие подробности о новом процессоре Snapdragon 8 Gen 3 от Qualcomm.

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Память DDR5 сильно подорожает, и всё из-за огромного спроса на память HBM. Аналитики прогнозируют подорожание на 15-20% в следующем году И снова аналитики говорят об удорожании компонентов для ПК. На сей раз речь опять касается памяти DDR5, но причины уже другие.  создано DALL-E Аналитики TrendForce говорят о внушительном росте спроса на память HBM, что обусловлено использованием этой памяти в уско...

Apple планирует производить 25% всех iPhone в Индии Apple и её поставщики, включая Foxconn, готовятся резко увеличить производство iPhone в Индии, планируя ежегодно выпускать более 50 миллионов единиц в течение следующих 2-3 лет. Этот амбициозный план повысит роль Индии в мировом производстве iPhone, на которую будет приходит...

Крупнейшая прямая иностранная инвестиция в совершенно новый проект в истории США. TSMC получит гранты от правительства и вложит в проекты 65 млрд долларов Компания TSMC получит от правительства США финансовую помощь для постройки нового завода в Аризоне.  фото: Bloomberg Министерство торговли США выделит TSMC субсидию в размере 6,6 млрд долларов на развитие производства полупроводников в Фениксе. Кроме того, TSMC по...

Китайцам удалось разработать и выпустить самую емкую в мире флеш-память. В чем прогресс? О компании YMTC мы несколько раз уже писали на Хабре. Например, в этой статье мы показали, как санкции США ударили по производству китайских чипов памяти. Тем не менее, бизнесу в КНР, связанному с производством электроники, удается не только выживать, но и реализовывать вес...

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

Core i9-14900HX, GeForce RTX 4090 Laptop, экран 3,2K Mini LED 165 Гц, 64 ГБ ОЗУ, 2 ТБ SSD. Флагманский ноутбук Lenovo Legion Y9000K 2024 поступил в продажу в Китае Самый крутой из игровых ноутбуков Lenovo 2024 года поступил в продажу в Китае. Legion Y9000K 2024 стоит 30 тыс. юаней — это примерно 4170 долларов. Но у него действительно незаурядная конфигурация. Lenovo Legion Y9000K 2024 получил 16-дюймовый экран Mini LED с ра...

Из чего делают Lada? АвтоВАЗ рассказал, какие стали используются при производстве отечественных машин АвтоВАЗ раскрыл подробности о производстве автомобилей Lada. Как сообщается, при создании только одного кузова применяется до 10 сортов стали разной толщины (от 0,6 до более чем 1 мм), а на изготовление каждой кузовной детали требуется 5 циклов работы пресса. Если гово...

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Названы сроки выпуска Nothing Phone 3, запуск ожидается в конце второго квартала 2024 года Ожидается, что Nothing Phone 3 будет оснащен процессором Snapdragon 8S Gen 3, который представляет собой модернизацию процессора Snapdragon 8+ Gen 1

По слухам следующий процессор Kirin будет сопоставим с Apple A12Z Один из китайских инсайдеров сообщил часть характеристик ещё не анонсированного процессора HUAWEI Kirin, готовящегося к выпуску

Предполагаемый OPPO A3 получил сертификацию TENAA, но процессор пока неизвестен Предстоящий телефон OPPO будет оснащен процессором с пиковой частотой 2,2 ГГц, который может являться SoC MediaTek Dimensity 7020.

10 процессоров Intel и AMD, которые подходят для оптимальной игровой сборки в разрешении 2К Процессор не должен быть слишком дорогим, но при этом обязан раскрывать актуальные видеокарты для игр в 2К

Процессор Intel Xeon 6 6980P Granite Rapids-AP будет иметь 128 ядер и TDP 500 Вт В сети появилась очередная утечка от известного инсайдера, раскрывающая новую информацию о будущих серверных процессорах Intel.

«Москвич» выпустит собственный электромобиль Директор по производству автозавода «Москвич» Олег Масляков подтвердил, что компания активно работает над производством собственного электромобиля, целью остается представить его к 2025 году. Он подчеркнул, что ключевые компоненты, включая электродвигатель и тяговую батарею,...

Huawei жертвует производством SoC Kirin и смартфонов Mate 60 ради выпуска ускорителей для искусственного интеллекта Компания Nvidia, как ранее сообщалось, частично жертвует производством GPU для игровых видеокарт в пользу ускорителей для ИИ. Похоже, примерно то же будет делать Huawei.  Как сообщается, китайский гигант намерен отвоевать у Nvidia часть её доли на рынке ускорителе...

В России запустили новую линию по производству филамента для 3D-принтеров Новую производственную линию по изготовлению нитей для 3D-принтеров запустили в Самарской области, о говорится в сообщении пресс-службы правительства. «В Безенчукском районе производитель филамента запустил в эксплуатацию новую производственную линию, которая на с...

Как сделать процессор Intel вдвое быстрее без повышения энергопотребления? Тесты показали преимущество AVX-512 у процессоров Xeon Emerald Rapids Процессоры Intel Xeon поколения Emerald Rapids, как оказалось, обеспечивают огромный прирост производительности при активации инструкций AVX-512, и при этом этот прирост почти бесплатный с точки зрения энергопотребления.  Авторы ресурса Phoronix протестировали фла...

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Delta Serval: первый сервер отечественного производства на базе процессоров последнего поколения Российская компания разработчик и производитель ИТ-оборудования Delta Computers представила первый в РФ сервер на базе процессоров Intel® Xeon® Scalable 4-го и 5-го поколений – Sapphire Rapids и Emerald Rapids.

Samsung начнет производить новейший 3-нм процессор для Galaxy S25 уже в ближайшие месяцы Компания Samsung объявила о скором начале массового производства нового процессора, выполненного по передовой 3-нм технологии.

В Китае массово разбирают GeForce RTX 4090 на запчасти для изготовления AI-карт «AI-бум» охватил Китай: санкционные графические процессоры NVIDIA RTX 4090 раскупаются китайскими компаниями для производства ИИ-карт

Huawei Pura 70: особенности камер и противостояние санкциям Huawei показала флагманскую серию камерофонов Pura 70, включая модель Ultra с уникальными характеристиками камеры. Все смартфоны также оснащены обновлёнными процессорами Kirin, остановить производство которых США больше не в силах.

АвтоВАЗ перезапустил производство 1,8-литрового мотора. Каждые 3,5 минуты с конвейера сходит новый двигатель 1,8 EVO АвтоВАЗ перезапустил производство мотора объёмом 1,8 литра, который был анонсирован неделю назад под названием 1,8 EVO. В опубликованном заводом ролике говорится, что АвтоВАЗ собрал сотни таких моторов еще на момент анонса. Линия сборки мотора 1.8 EVO состоит из 17 пос...

Qualcomm Snapdragon 8 Gen 4 появится в смартфонах уже в сентябре Компания Qualcomm и её партнёры активно работают над тем, чтобы выпустить новейший флагманский процессор Snapdragon 8 Gen 4 на рынок — в сети уже достаточно много информации о данном чипе, который, вероятно, обладает действительно впечатляющей производительностью. Например, ...

ИИ поможет в производстве стволовых клеток для регенеративной медицины Исследователи из Северо-Восточного университета продемонстрировали, как искусственный интеллект (ИИ) может использоваться для массового производства плюрипотентных стволовых клеток, что может быть использовано в лечении рака, болезни Альцгеймера или Паркинсона, а также в рег...

Диспетчер задач Windows скоро будет отображать активность AMD NPU Недавно Диспетчер задач Windows обновился поддержкой мониторинга процессоров Intel Meteor Lake на базе плиток. Теперь такую возможность получат процессоры AMD.

Раскрыты характеристики процессоров AMD Ryzen 8000GE мощностью 35 Вт В новую линейку будут входить модели как для домашних систем, так и специальные процессоры серии Pro для бизнеса с повышенной безопасностью

Уже 6 лет HUAWEI продает вам смартфоны на старом процессоре. Не попадитесь на эту уловку! Вот уже несколько лет HUAWEI находится под санкциями США, что значительно ограничивает ее возможности. Китайская корпорация лишилась доступа ко многим американским технологиям и теперь вынуждена использовать наработки прошлого в своих новинках. Так, недорогие смартфоны HUAW...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Nvidia может взяться за рынок портативных игровых консолей Помимо производства специальных графических процессоров для Nintendo Switch 2 компания думает о создании своего портативного устройства

Сбер запустит производство умных телевизоров в Новгородской области Сбер и компания-партнёр SberDevices планируют перенести до 50% производства умных телевизоров Sber на территорию особой экономической зоны «Новгородская». Об этом рассказала пресс-служба Сбербанка.  Иллюстрация: SberDevices Инвестиционный проект был пр...

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Опубликованы технические подробности процессоров AMD Zen 5 В публикации на Xwitter High Yield приводит список того, что мы знаем о настольных процессорах AMD следующего поколения: они будут работать на Socket AM5 и использовать тот же базовый процессорный пакет, что и Ryzen 7000, но они будут называться Ryzen 9000.

Intel готовит ещё 3 процессора нового поколения без индекса К Буквально вчера вечером компания Intel официально представила свои совершенно новые процессоры, которые на самом деле оказались процессорами прошлого года, но с повышенной тактовой частотой производительных ядер. Это, безусловно, разочаровало многих пользователей, так как ге...

В России начали выпускать новые дизельные моторы: 6 цилиндров, 360 л.с. и ресурс 1 млн километров Ярославский моторный завод «Автодизель» запустил в серийное производство рядные шестицилиндровые моторы ЯМЗ-537. От предшественников серии ЯМЗ-536 они отличаются увеличенным с 6,65 л до 7,7 л объемом, повышенной до 360 л.с. мощностью и более высоким крутящим...

МГТУ им. Н. Э. Баумана внедрит производство квантовых процессоров для нового поколения ПК На новом кампусе МГТУ им. Н. Э. Баумана в Москве скоро стартует производство сверхпроводниковых квантовых процессоров для суперкомпьютеров, что станет первым таким предприятием в России.

Apple выпустит Mac Mini сразу на процессоре М4 В прошлом году компания Apple официально обновила линейку ноутбуков MacBook Pro и MacBook Air своим новым процессором M3, но этот чип всё ещё не добрался до десктопных компьютеров Mac Mini и Mac Studio. А теперь появилась информация о том, что Mac Mini на M3 вообще не увидит...

Huawei разрабатывает новый флагманский процессор Сегодня появилась достаточно интересная информация о том, что компания Huawei, бывший китайский гигант рынка смартфонов, активно разрабатывает флагманский процессор серии Kirin для своего грядущего гаджета. Здесь хотелось бы напомнить, что производитель выпустил процессор Ki...

Упростить работу с ИИ владельцам видеокарт Radeon. ASRock представила приложение AI QuickSet Компания ASRock решила упростить использование различных инструментов с искусственным интеллектом для владельцев видеокарт Radeon. Для этого компания выпустила приложение AI QuickSet.  ПО фактически помогает установить на ПК с Windows 11 другие приложения. В частн...

Pixel Fold 2 получит совершенно новый процессор Складной смартфон Pixel Fold был первой попыткой компании Google создать устройство с уникальным форм-фактором, но в процессе производитель упустил множество важных деталей, которые сказались на производительности, возможностях нового гаджета и не только. Но теперь инсайдеры...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Новые процессоры MediaTek будут иметь графику NVIDIA Согласно последним новостям, компания NVIDIA лицензировала свои графические процессорные ядра (GPU IP) фирме MediaTek для использования в следующем поколении автомобильных процессоров

Совершенно новые процессоры Intel Meteor Lake будут недорогими? Ноутбук с Core Ultra 7 155H оценили в 1050 долларов, а ведь речь об одном из старших CPU Процессоры Intel Meteor Lake будут представлены только 14 декабря, но уже сейчас ноутбуки на основе таких CPU появились в ассортименте магазина NewEgg и у некоторых других продавцов.   Купить такие ПК ещё нельзя, но зато можно ознакомиться с ценами и характеристик...

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

Представлен первый ПК на основе процессоров AMD Ryzen 8000. Планшет Minisforum V3 будет очень большим Несмотря на то, что до официального анонса процессоров Ryzen 8000 ещё явно минимум несколько месяцев, компания Minisforum уже представила первый продукт на основе таких CPU.  Речь о планшете, который пока что называется Minisforum V3, но это вряд ли окончательное ...

3D-печатные анатомические модели CML AT Medical повысят эффективность хирургических операций Инжиниринговый центр CML AT Medical Северо-Западного центр трансфера технологий разработал анатомические модели височных костей по заказу Научно-исследовательского клинического института оториноларингологии имени Л. И. Свержевского. Изделия будут применяться для отработки вр...

Samsung подробно раскрыл характеристики процессора Exynos 2400 Он представляет из себя 10-ядерный процессор с графическим процессором Xclipse 940.

Садко или китайцы: кто будет собирать телевизоры Сбера в Новгороде? SberDevices (которые как бы не Сбер, но все всё понимают) в следующем году собирается локализовать до 50% производства (сборки, конечно) своих телевизоров под маркой Сбера (а чего не Салюта?) в Новгороде. Площадку в ОЭЗ «Новгородская» начнут оснащать в начале 2024 года, а на...

Мобильные процессоры Ryzen 9000 не получат поддержку Windows 10 Стоит отметить, что ноутбуки с будущими процессорами Ryzen 9000 Strix Point скорее всего будут продаваться либо с предустановленной Windows 11 либо Linux, поэтому проблем у пользователей возникнуть не должно

Процессор Snapdragon 8 Gen 4 с ядрами Oryon появится осенью 2024 года Компания Qualcomm объявила о сроках появления своего следующего процессора для смартфонов, который, как мы уже знаем, будет оснащен совершенно новыми технологиями, которые должны кардинально изменить производительность наших смартфонов.

Разработчик процессоров «Эльбрус» учредил новую компанию Разработчик российских процессоров «Эльбрус» АО МЦСТ учредил новую структуру — ООО «Эльбрус», основной вид деятельности которого разработка программного обеспечения». Об этом сообщает издание «Коммерсантъ». Новая компания ...

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)