Социальные сети Рунета
Среда, 22 мая 2024

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Adidas планирует продать оставшиеся запасы кроссовок Yeezy по себестоимости Компания Adidas планирует продать оставшиеся запасы кроссовок Yeezy по цене, эквивалентной затратам на производство. Сотрудничество между Adidas и Канье Уэстом было расторгнуто в 2022 году из-за антисемитских высказываний последнего.

Китайская компания SMIC готовится к переходу на 3-нм техпроцесс Китайский производитель полупроводников SMIC, как сообщается, создает команду для перехода на 3-нм техпроцесс. Однако неясно, как SMIC и Китай получат необходимое оборудование в условиях ограничений со стороны США.

Intel за счёт перехода на EUV смогла снизить себестоимость производства чипов почти в два раза И передовая литография в дальнейшем будет для неё оставаться важным источником дохода.

Себестоимость iPhone 15 выросла, прибыль Apple сократилась Себестоимость свежего iPhone Pro Max выросла примерно на 12% по сравнению с iPhone 14 Pro Max. Об этом сообщает издание Appleinsider. Читать полную статью

Массовый переход на электромобили должен был решить экологические проблемы — но создал новые Почему Норвегия, пионер по переходу на электрокары, теперь пытается сократить их количество.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Затраты TSMC при переходе от 3 нм к 2 нм могут увеличиться в полтора раза Закон Мура обходится производителям чипов всё дороже.

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Стала известна себестоимость Apple Vision Pro Аналитическая компания Omdia провела подсчеты и назвала примерную стоимость компонентов, которые нужны для сборки одного Apple Vision Pro. По словам экспертов, яблочная гарнитура стоит Apple 1542 доллара без учета затрат на упаковку, сборку, логистику, поддержку и маркетинг....

Переход на Serverless: как выстроить архитектуру своего приложения Как менеджер продукта и один из амбассадоров serverless я регулярно рассказываю о преимуществах этого подхода и показываю, как с помощью бессерверных вычислений повысить эффективность затрат на инфраструктуру. Но как и у любого подхода, у serverless есть свои ограничения, ко...

Китайские производители чипов начали снижать цены, чтобы привлечь тайваньских клиентов Экспансия зрелых техпроцессов в Китае начинает беспокоить зарубежных конкурентов.

Правительство РФ намерено компенсировать часть затрат при переходе на российский софт Компании могут рассчитывать на компенсацию 50 % от своих общих затрат.

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

SMIC столкнулась с проблемами при переходе на производство 5-нм чипов Компания SMIC столкнулась с трудностями при переходе на производство 5-нм чипов из-за высоких затрат и низкого выхода продукции, что может привести к увеличению стоимости чипов до 50% по сравнению с TSMC.

Себестоимость iPhone 15 Pro Max достигла $558 Авторы японского издания Nikkei подсчитали себестоимость компонентов, которые необходимы для сборки одного iPhone 15 Pro Max. Она составила 558 долларов. Это на 12 % больше себестоимости прошлогоднего iPhone 14 Pro Max. На данный момент последняя флагманская «прошка» являетс...

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

5 утренних ошибок, которые снижают продуктивность на весь день Проверьте, не теряете ли вы силы и энергию сразу после подъёма.

Украина снизила призывной возраст для увеличения численности вооруженных сил Зеленский подписал законопроекты, снижающие возраст для прохождения военной службы с 27 до 25 лет и требующие, чтобы лица, получившие освобождение от службы по инвалидности, проходили новое обследование.

Представлен процессор AmpereOne-3: 256 ядер, 3-нм техпроцесс TSMC, PCIe 6.0 и 12-канальная DDR5 AmpereOne-3 — это процессор третьего поколения от Ampere Computing, который будет обладать мощностью до 256 ядер и выполнен по новому 3-нм техпроцессу TSMC в конфигурации чиплета.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

SCMP: Китай может затянуть процесс строительства газопровода «Сила Сибири-2» в надежде на скидки Кроме того, КНР может попытаться переложить затраты на российскую сторону.

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Honda продолжит сокращения. Компания выживает за счёт ДВС, получить прибыль от электромобилей гораздо сложнее Глава Honda China Масуки Игараси заявил в интервью Nikkei Business News, что «в Китае будет реализована масштабная оптимизация персонала», чтобы сократить постоянные затраты и ускорить переход на чистые электромобили. Он сказал, что в 2024 году продолжится п...

Сила санкций США. 5-нанометровая продукция китайской SMIC будет в полтора раза дороже, чем у TSMC Уже в этом году китайская компания SMIC может начать выпуск 5-нанометровой продукции для Huawei. Согласно свежим данным, такая продукция будет в полтора раза дороже, чем аналогичная от TSMC.  Ранее уже сообщалось, что из-за старого оборудования без EUV такие чипы ...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

Растратная авантюра Huawei: компания сможет создать 5-нм SoC, но цена будет высока Huawei может столкнуться с финансовыми «американскими горками», преодолевая неспокойный ландшафт производства микросхем. По мнению экспертов, переход с 7 нм на 5 нм техпроцесс на существующем оборудовании DUV не просто возможен, но и сопряжен с большими денежными потерями.

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Себестоимость iPhone 15 Pro Max составляет всего $558, а реальная цена – $1 199 Новый флагман оказался дороже iPhone 14 Pro Max на $37.

Военный эксперт заявил о переходе ВСУ и НАТО к новой тактике Новая стратегия вооруженных сил Украины (ВСУ) и стран НАТО предполагает нанесение ракетных ударов большой дальности по ключевым объектам инфраструктуры Крымского полуострова, считает полковник запаса Андрей Кошкин, заведующий кафедрой политологии и социологии РЭУ имени Плеха...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

Мишустин подписал распоряжение о снижении стоимости услуг ЖКХ и пени за просрочку для ветеранов СВО Ветеранам СВО снижают стоимость услуг ЖКХ и пени за просрочку. Данная мера вступит в силу с 1 июля 2024 года.

Словения размещает полицию на границах с Хорватией и Венгрией В субботу Словения развернула полицейские силы на граничных переходах с Хорватией и Венгрией в целях предотвращения возможных угроз безопасности, что привело к образованию очередей.

Вентиляторная улитка 12.6в на БК моторе от HDD привода. Приветствую технарей)Выкладываю на осуждение) проект на бк моторе от привода HDD. Цель проекта выяснить способности и целесообразность вторичного использования двигателя для подобных задач. В целом оно того стоило) Улитка для своих размеров крайне не плохо дует и довольно ти...

Lada Granta подорожала на 50 тыс. рублей, Niva — на 60 тыс. рублей. АвтоВАЗ поднял цены почти на все модели Lada Случилось то, о чем говорили инсайдеры и сам АвтоВАЗ: Волжский автозавод поднял цены на свои машины. Прибавили почти все модели — подорожание не коснулось лишь Lada Vesta. Изображение: Lada Не подорожала и Lada Granta, но только в самой просто базовой версии. А у...

Apple замедлила iPhone 15 Pro, чтобы процессор не перегревался. Не помогло, а теперь он ещё и тормозит Патч снижает производительность процессора, однако устройство по-прежнему греется.

Мод первого Max Payne RTX Remix с трассировкой пути снижает частоту кадров на RTX 4080 до 20 раз Теперь и классика тормозит на топовых картах.

Представлен портативный монитор, работающий на солнечной энергии Если долго смотреть на яркие экраны, то это может нанести вред глазам. Но что, если бы ваш монитор мог использовать силу солнцечного света, снижая нагрузку на глаза и даже экономя энергию? У Eazeye есть для вас ответ.

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Нашумевший препарат для похудения Ozempic оказался полезен и в отказе от сигарет Новые исследования указывают на неожиданный побочный эффект популярных препаратов для снижения веса: уменьшение потребления алкоголя и табака. Препараты GLP-1, такие как Ozempic и Wegovy, известны тем, что снижают аппетит, но, согласно отчету Morgan Stanley, они также могут ...

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

Илон Маск официально открыл продажи электрического внедорожника Cybertruck и объявил цены Во время анонса Tesla Cybertruck в 2019 году, Илон Маск сказал, что автомобиль будет продаваться за $40 000. С тех пор данная цифра не обновлялась, несмотря на рост затрат на материалы и проблемы с производством. Теперь американский предприниматель раскрыл новый диапазон цен...

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Ученые создали покрытие для окон снижающее энергопотребление Ученые Университета Нотр-Дам разработали прозрачное покрытие для окон, которое пропускает видимый свет, но блокирует ультрафиолет и инфракрасное излучение. Это покрытие не только снижает температуру в помещении, но и уменьшает энергопотребление для охлаждения, независимо от ...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Ученые считают, что эпитаксиальный графен может заменить собой кремний в производстве чипов Новый материал позволит ускорить эволюцию передовых технологий и снизить при этом себестоимость самих изделий

В Авдеевке ВКС РФ поразили засевшие в глубоких тоннелях силы ВСУ новейшей бункерной ракетой А вот кажется теперь мы наконец начали

Стало известно, сколько АвтоВАЗ зарабатывает на каждой проданной Lada Granta. Цифра может удивить Ресурс Motor.ru выяснил, сколько АвтоВАЗ зарабатывает на каждой проданной Lada Granta. Оказалось, совсем немного: всего-то около 35 тыс. рублей. Об этом рассказал глава Волжского автозавода Максим Соколов. Фото: АвтоВАЗ По словам главы компании, на маржинальности каждо...

Неинвазивный мониторинг ЦОД: повышаем надежность и снижаем затраты В центрах обработки данных используется оборудование от разных вендоров, с различными встроенными системами мониторинга. Когда таких систем становится много, уследить за подобным «зоопарком» непросто.Но как сделать систему мониторинга, которая будет работать в любом автозале...

CNBC: Аналитики Omdia оценили себестоимость компонентов Apple Vision Pro в $1542 Apple Vision Pro стоит $3500, а аппаратное обеспечение — в два раза дешевле.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

GeForce RTX 4060 Ti теперь доступна по цене ниже 350 долларов NVIDIA снижает цену на GeForce RTX 4060 Ti на фоне конкуренции с AMD Radeon RX 7600 XT.

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Пора переходить на микрофронтенд? Опыт “Инферит Клаудмастер” Приветствую всех новичков и гуру фронтенда, а также всех, кто работает с интерфейсами. Я Никита Борцов, создаю красоту на фронте первой в России FinOps-платформы для управления облачными затратами “Инферит Клаудмастер”.В этой статье вы узнаете о:- факторах, сформировавших тр...

Самая масштабная забастовка авторабочих обошлась General Motors в 9,3 млрд долларов Компания General Motors заявила, что ее договоренности с бастующими членам профсоюзов UAW и Canadian Unifor увеличат затраты на 9,3 миллиарда долларов до 2028 года. Компания также объявила о выкупе акций на 10 миллиардов долларов. Эти данные были озвучены после подписан...

Китайские комплектующие формируют 47% себестоимости смартфона Huawei Mate 60 Pro А сумма сама по себе составляет $422.

Почему следует обратить внимание на Windows 11 Статья рассматривает преимущества и возможности новой операционной системы Windows 11, а также почему пользователи должны рассмотреть возможность перехода на нее. Рассматриваются такие аспекты, как новый пользовательский интерфейс, повышенная производительность, функции для ...

Полковник Риттер считает, что тактическое отступление Сырского скоро превратится в стратегическое Очеретино очень похоже станет новой Березиной, но теперь для украинских сил

Трудяги, киноманы и фанаты игр. Кому понравится новый смартфон TECNO POVA 5 Благодаря TECNO приобрести функциональный гаджет и не влететь в копеечку теперь под силу каждому. Рассказываем, кому придётся по вкусу новый смартфон бренда — POVA 5.

Себестоимость гарнитуры Apple Vision Pro — 1500 долларов, при цене 3500 Apple, привыкшая за время своего существования удивлять мировую IT-индустрию, на сей раз, кажется, превзошла сама себя, когда впервые анонсировала цену новой гарнитуры Vision Pro — $3500, что в разы дороже любых потребительских гарнитур.

“Укроп” — ваш кредитный оптимизатор “Укроп” взялось от “Your CRedit OPtimizer” :) Основная идея В современном мире финансовой стабильности, всеобщего счастья и легкодоступных кредитов, вопрос в эффективности набирания этих самых кредитов стоит довольно остро. И если по времени пересекается 1-2-3 кредита, име...

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Samsung первой получила заказ на производство 2-нанометровых чипов Японский стартап Preferred Networks, разрабатывающий собственные чипы для искусственного интеллекта, заключил сделку с Samsung: южнокорейская компания будет производить для Preferred Networks полупроводниковые решения по техпроцессу 2 нм. Интересно, что по слухам выпуск...

Экономия без потерь: оптимизация расходов на IT- инфраструктуру с помощью VPS Оптимизация затрат на IT-оборудование и услуги может значительно снизить общие расходы и повысить конкурентоспособность компании. В данной статье мы обсудим, как использование виртуальных частных серверов (VPS) помогает добиться этих целей, обеспечивая при этом высокое качес...

iPhone 15 Pro Max оказался смартфоном Apple с самой высокой себестоимостью за всю историю Представленный недавно iPhone 15 Pro Max оказался на $100 дороже предшественника.

На Украине заявили о переходе к плану «Б» в случае отсутствия помощи Запада На Украине назвали условие перехода к плану «Б»

Машины, которые выпускают в России, рекордно подорожали Цены на российские автомобили выросли на 5,5%, согласно данным Росстата за период с 31 октября по 7 ноября. В тот же период иномарки слегка подешевели на 0,4%. Рост цен на отечественные автомобили на 5,5% является рекордом за этот год. С начала текущего года цены на авт...

Выставка гетеропереходов Huasun в Абу-Даби: освещение будущего солнечной энергетики  Переход к использованию экологически чистой солнечной энергии, широко признанной в качестве наиболее значимого источника энергии в настоящее время и в будущем, имеет решающее значение для создания планеты с нулевым выбросом углерода для всего человечества. Поскольку солнечна...

Яндекс Музыка переходит на новый уровень персонализации сервиса Яндекс Музыка выходит на новый уровень персонализации. Сервис будет развивать рекомендации и создавать рекомендательные продукты для открытия новой музыки, которая дает слушателям больше ярких эмоций, а артистам - больше постоянных слушателей. Первый этап изменений включает ...

Долгожданная трёхмерная игра South Park получила трейлер и дату выпуска Мы знаем, что новая игра о городке South Park находится в разработке уже довольно долго. В этой игре нас ждёт переход от двумерной RPG к полноценной трёхмерной игре. И вот теперь игра South Park: Snow Day анонсирована официально.

Hyundai/Kia были замечены у зарядки Tesla, намекая на переход к NACS Кажется вероятным, что в ближайшее время от компаний поступит совместное объявление о переходе на NACS.

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

Переход с GeForce RTX 40 на RTX 50 принесёт меньший прирост производительности, чем переход с RTX 30 на RTX 40. Новинки могут выйти в конце 2024 года До выхода видеокарт GeForce RTX 50 ещё около года, и пока неясно, когда точно выйдут первые адаптеры. Согласно свежим данным, Nvidia ещё не приняла соответствующее решение.  создано DALL-E Автор Moore's Law Is Dead, ссылаясь на собственные источники в Nvidia, ...

TSMC пообещала начать выпуск 2-нм продукции во второй половине следующего года И представить технологию N4C для снижения себестоимости чипов.

Аналитик пояснил, почему в США невыгодно добывать Биткоины, и назвал преимущества майнинга в РФ Всё дело в себестоимости добычи 1 Биткоина, которая в США ощутимо выше, чем в РФ

Делаем PageObject правильно. Удешевляем тестирование и снижаем порог вхождения Привет, меня зовут Коля, занимаюсь тестированием 7 лет, автоматизацией — 6 лет. Так уж сложилось, что не особо люблю WebUI-тесты, но почему-то именно они у меня получаются лучше всего.В один день мне позвонил мой друг Рома и сказал: «Коля, помнишь ты у нас автотесты делал с ...

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

Переход Windows 12 на подписку оказалось «недопониманием» — систему, как и раньше, можно будет купить не подписываясь В последние дни в Сети активно обсуждался вопрос о возможном переходе Windows 12 на подписную модель.

Переход с iPhone на Android: какой смартфон выбрать, чтобы ... Многие из владельцев iPhone рано или поздно задумываются о переходе на платформу Android – из-за большей открытости системы, настраиваемости под себя, а иногда просто из-за желания перемен.

Переход с iPhone на Android: какой смартфон выбрать, чтобы ... Многие из владельцев iPhone рано или поздно задумываются о переходе на платформу Android – из-за большей открытости системы, настраиваемости под себя, а иногда просто из-за желания перемен.

В Японии запустили первую в мире сеть 5G с ускорением на основе GPU Nvidia Японский оператор NTT DOCOMO сегодня объявил о развёртывании первой в мире коммерческой сети 5G с ускорением на основе GPU.  Новый продукт называется 5G Open RAN, и это первая виртуальная сеть 5G для коммерческого развертывания в телекоммуникационных компаниях с и...

Nvidia: роботы-гуманоиды за 10-20 тыс. долларов станут такими же распространёнными, как и машины, и будут широко использоваться людьми Генеральный директор Nvidia Дженсен Хуанг считает, что роботы-гуманоиды вскоре станут массовым продуктом, произведя революцию в различных отраслях. Хуан подчеркнул, что затраты на производство роботов-гуманоидов будут на удивление низкими, сравнимыми с ценами на дешевые...

Эксперты оценили себестоимость инновационного устройства Apple Vision Pro Производство Vision Pro обходится компании в рекордные для подобных гаджетов 1542 доллара

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Сердце Pixel 8 и 8 Pro по энергоэффективности находится примерно на уровне Apple A16 Bionic Однокристальная система Tensor G3, как и прошлые два поколения, производится на мощностях Samsung, что не очень хорошо сказывается на энергоэффективности. Свежие тесты показывают, что конкретно Tensor G3 благодаря переходу на техпроцесс 4 нм в целом хотя и далека от луч...

Уже в ноябре 2023 года «Нива» получит новый двигатель. И, возможно, получит ABS Инсайдерский паблик «Нетипичный АвтоВАЗ» сообщает о том, что в конце текущего месяца АвтоВАЗ начнет оснащать внедорожники Lada Niva Travel моторами «Евро-5». Изображение: Lada Напомним, в конце августа текущего года АвтоВАЗ начал оснащать мотора...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

«Автомаркетолог»: из-за повышающегося утильсбора китайские автопроизводители могут уйти из России Основатель проекта «Автомаркетолог» Олег Мосеев заявил, что дальнейшее повышение утильсбора может привести к тому, что китайские автобренды начнут уходить из России. «Нельзя каждые несколько месяцев менять правила игры. Так никто не будет ра...

Уникальный Land Rover Defender продают гораздо дешевле себестоимости На предстоящих торгах аукционного дома Mecum в США 8 марта будет продан уникальный Land Rover Defender, превращенный в пикап с двигателем V8 мощностью 650 лошадиных сил и кастомным салоном. Продаваемый автомобиль оценивается в 140–150 тысяч долларов, несмотря на т...

Google официально завершил переход на mobile-first индексацию Google официально завершил переход на mobile-first индексацию. «Спасибо владельцам сайтов, SEO-специалистам, веб-разработчикам, дизайнерам и всем, кто работает над веб-сайтами, за то, что помогли сделать мобильную сеть успешной!» - говорится в блоге Google Search Central. С ...

Большой слив в день анонса: цены и живые фото Google Pixel 8, 8 Pro и Pixel Watch 2 Как сообщает 9to5google, американские смартфоны Google Pixel 8 и 8 Pro будут стоить на 100 долларов дороже прошлогодних телефонов. При этом Google снижает стоимость обновления до модели с 256 ГБ памяти. По словам инсайдера MysteryLupin, Pixel 8 с 256 ГБ флеш-памяти буд...

Volkswagen Polo спасён: самый дешёвый автомобиль бренда будут выпускать с ДВС, как минимум, до 2030 года Volkswagen Polo может продаваться еще пять лет благодаря смягчению законодательства ЕС по выбросам. Первоначальные предложения по нормам выбросов Евро-7, которые должны были быть введены в этом году, должны были похоронить хит Volkswagen, поскольку затраты на приведение...

YouTube внедряет меры безопасности в связи с появлением на сайте видеороликов, созданных ИИ YouTube вводит на своей платформе новое правило, которое вступает в силу немедленно, поскольку теперь создатели должны четко маркировать видеоконтент, созданный искусственным интеллектом.

В 2024 году российский завод Haval выпустит 130 тыс. автомобилей. На конвейер помимо обновленного Jolion 2024 встанут совершенно новые Haval F7, Haval H9 и Haval H7 Если в прошлом году Haval выпустил почти 120 тыс. машин в России (и это 13,6% всех новых авто, собранных в России в прошлом году), то в текущем году производство увеличится примерно на 10% — до 130 тыс. автомобилей. Об этом сообщил министр промышленности и торговл...

Илон Маск объявил об окончательном переходе Twitter на X.com Амбициозные планы Илона Маска в отношении Twitter, теперь известного как X, наконец-то завершены.

Планы NASA по сокращению затрат с использованием ракеты SLS маловероятно принесут ожидаемую экономию Планы NASA по приобретению будущих запусков системы Space Launch System, скорее всего, не приведут к ожидаемому снижению затрат, пришло к заключению Управление генерального инспектора (NASA OIG), рекомендуя NASA сохранять открытость для альтернативных носителей.  В...

Исследовательская компания раскрывает себестоимость компонентов Apple Vision Pro Общая стоимость деталей пространственного компьютера составляет $1,542, два 1,25-дюймовых дисплея micro-OLED от Sony являются самыми дорогими

Маск назвал «неправдой» слова о переходе на устойчивую энергетику за пару лет Илон Маск прокомментировал заявление секретаря Рамочной конвенции ООН об изменении климата Саймона Стилла, который высказался о глобальном потеплении и необходимости скорейшего перехода на устойчивую энергетику.

Когда-то Apple намеренно снижала производительность iPhone и теперь начала выплачивать компенсации. Дело Batterygate наконец-то подошло к концу Эпопея с намеренным снижением производительности iPhone со стороны Apple подошла к концу. Компания начала выплачивать пострадавшим компенсацию.  В рамках дела, которое прозвали Batterygate, Apple признали виновной, и компания наконец-то начала выплачивать компенса...

Российские HSM модули: Новый этап в защите данных платежных систем В начале 2024 года российские банки столкнулись с важным решением: перейти на оборудование отечественного производства для защиты транзакций по пластиковым картам. Этот шаг в рамках программы импортозамещения не только повышает безопасность финансовых операций, но и открывае...

В России создали мобильную установку для ремонта вертолетных лопастей Ученые Всероссийского научно-исследовательского института авиационных материалов НИЦ «Курчатовский институт» создали мобильную установку, способную проводить ремонт лопастей вертолетов на месте. Это новшество позволит существенно сократить время и затраты на ремонт, так как ...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Samsung снова не получит заказы Qualcomm. SoC Snapdragon 8 Gen 4 будет производить только TSMC Компания Qualcomm, похоже, не хочет производить будущую SoC Snapdragon 8 Gen 4 на заводах Samsung.  создано DALL-E Ранее компания говорила, что ей интересно диверсифицировать производство, намекая на то, что на фоне успехов Samsung в освоении новых техпроцессов ко...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Утечка информации о дизайне iPhone 16: все модели оснастят кнопкой действия с датчиком силы Последние слухи вокруг долгожданной линейки iPhone 16 от Apple говорят о том, что обновление будет иметь принципиальное значение - кнопка Action, впервые представленная в iPhone 15 Pro, по слухам, должна покорить все семейство iPhone 16. Утечка подробностей указывает на пере...

Давно пора: в России будут мгновенно блокировать сайты с призывом собирать деньги для ВСУ В России вступает в силу новый закон, цель которого — немедленная блокировка интернет-ресурсов, распространяющих призывы к финансированию Вооруженных сил Украины (ВСУ).

Вооруженные силы Германии стареют и сокращаются В представленном парламенту Германии докладе комиссара по делам вооруженных сил говорится, что вооруженные силы страны сталкиваются со значительными кадровыми проблемами, а старания военных изо всех сил привлечь новых рекрутов малоэффективны.

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

10 тенденций, которые бросаются в глаза после перехода с iOS на Android И еще были те, кто упоминал широту ассортимента на рынке смартфонов Android. «У Android есть преимущества и это факт» – скажет вам владелец Samsung, Xiaomi, HUAWEI, OnePlus и т.д. А какие в целом тенденции можно отследить при переходе с iPhone на Android? Это с вами сейча...

Король умер, да здравствует король! Google Play Movies & TV закрыли, но ему уже есть замена Google прощается с Google Play Movies & TV, направляя пользователей к приложению Google TV. Уже отказавшись от приложения на Roku, большинстве смарт-телевизоров и Android TV, Google в своем документе поддержки описывает переход к использованию приобретенного контента пос...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

iPhone 16 может оказаться дороже iPhone 15 из-за роста производственных затрат Камера новой модели iPhone 16 стоит на 380% дороже чем у iPhone 15

Intel готовит рынок к процессорам, потребляющим более 1 кВт мощности. Компания вместе с Submer анонсировала разработку в области однофазной технологии погружного охлаждения Компания Intel, похоже, намекает нам на то, что в будущем процессоры вполне смогут потреблять более 1 кВт мощности. Совместно с Submer процессорный гигант анонсировал революционную, как говорят участники, разработку в области однофазной технологии погружного охлаждения,...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Сокращать тысячи сотрудников Tesla пришлось из-за задержки с выпуском недорогого электромобиля за $25 000 Теперь все силы брошены на разработку роботизированного такси.

С марта 2024 года вступает в силу закон об ОСАГО на короткий срок Теперь страховать авто можно будет хоть на 1 день.

Десятилетние усилия Apple создать электромобиль стоили компании более $10 млрд Теперь силы будут перенаправлены на искусственный интеллект.

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Intel хочет быть впереди всех. Компания выкупила все новейшие машины ASML для литографии EUV с высокой числовой апертурой до конца года Компания Intel сделала большую ставку на технологию литографии EUV с высокой числовой апертурой. Настолько большую, что выкупила у ASML все соответствующие машины, которые голландская компания построит до конца текущего года.  На самом деле в абсолютном выражении ...

Новый виток стоимости чипов? На Тайване дорожает электричество — что это принесёт отрасли Производство полупроводниковых компонентов — весьма энергоёмкое направление. И чем дороже кВт·ч, тем выше себестоимость самих чипов. Зависимость там нелинейная, но влияние всё равно достаточно сильное. И каким оно будет, мы узнаем в ближайшем будущем. Дело в том, что на Тайв...

Майнеры биткоина готовятся к резкому падению прибыли из-за халвинга Крупнейший майнер биткоинов в США инвестирует в инфраструктуру, чтобы снизить себестоимость на фоне снижения доходов из-за предстоящего халвинга.

Haval Jolion и тут равных нет. Назван самый экономичный среди популярных китайских кроссоверов для корпоративного парка Маркетинговое агентство НАПИ опубликовало интересное исследование: была проанализирована стоимость затрат на покрытие одного и того же маршрута (из Одинцово в Балашиху) на пяти моделях китайских кроссоверов. Самым экономичным для корпоративного парка оказался Haval Joli...

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

Китайская компания SMIC скоро запустит производство 5-нм чипов. На этот раз по-настоящему Примерно месяц назад мы публиковали статью «Чуда не произошло: "китайский" 5-нм процессор Kirin 9006C выпускала фабрика TSMC». В ней говорилось о том, что на самом деле чип Kirin 9006C, который установила Huawei в своём ноутбуке, произведён не в Китае, его поставила в Поднеб...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Новая функция «Временный чат» уже доступна в веб-версии ChatGPT от Open-AI Новое обновление для ChatGPT снижает вероятность утечки конфиденциальной информации пользователей.

Запрет на экспорт в Россию обвалил японский рынок подержанных машин Как сообщает агентство Reuters, решение Японии запретить экспорт в Россию подержанных автомобилей обвалило рынок, объем которого приближался к 2 миллиардам долларов в год. Спрос на подержанные автомобили из Японии в России резко подскочил после того, как мировые автопр...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Гайд по EA Sports FC Tactical: Игровые режимы, тактика игры на поле и советы EA Sports FC Tactical — интересный футбольный симулятор, который выводит тактические решения менеджера команды (вас) во время матчей на новый уровень. Теперь вместо прямого управления каждым футболистом игрок участвует и наблюдает за QTE-моментами, когда нужно выбрать то или...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Microsoft работает над добавлением GPT-4 Turbo в Copilot Microsoft работает над обновлением сервиса Copilot (ранее Bing Chat) до недавно анонсированной языковой модели GPT-4 Turbo от OpenAI. Её главным преимуществом является поддержка контекстного окна размером 128 КБ, что эквивалентно примерно 300 страницам текста. Глава подразд...

Без доступа к современному оборудованию затраты SMIC на выпуск новых чипов будут стремительно расти На коммерческих условиях делать это невыгодно.

Как 3D принтеры используют для производства дронов? (Часть 1) Проектирование и создание дронов возможно с помощью 3D печати и 3D моделирования. Где используются беспилотники, из каких элементов состоят дроны и какой пластик выбрать для изготовления разных деталей? В этой статье вы узнаете все о процессе создания беспилотников, выборе п...

Российские военные восстановили одну из трофейных БМП Marder, оставленных ВСУ Данная техника теперь сможет послужить в рядах Вооруженных Сил России

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Беспилотные грузовики КамАЗа и «Сберавтотеха» проехали более 600 тыс. км и перевезли более 12 тыс. тонн грузов Беспилотные грузовики КамАЗа и «Сберавтотеха» с начала эксперимента на трассе М-11 «Нева» в июне перевезли огромный объём груза, о чем сообщили в ассоциации «Цифровой транспорт и логистика». «Беспилотные грузовики "Кам...

Китай представляет новую структуру НОАК: Восемь видов вооруженных сил Китай объявляет о капитальном ремонте своей военной структуры, создавая четыре подразделения Народно-освободительной армии Китая и четыре независимые силы для повышения своих боевых возможностей и модернизации своей оборонной стратегии.

Противопоставить Nvidia Blackwell компании AMD пока нечего, но она готовит обновлённые ускорители Instinct MI350 Компания AMD сейчас активно продвигает свои ускорители для ИИ линейки Insinct MI300. Полноценную смену они получат ещё нескоро, но сообщается, что нас может ждать обновлённая версия.  Модель называется MI350. Её обнаружили в списке устройств, запрещённых для экспо...

Из-за нового процессора Galaxy S25 Ultra, Galaxy Z Fold 7 и Galaxy Z Flip 7 могут подорожать Samsung начнет устанавливать в Galaxy S25 Ultra более дорогие чипсеты, что приведет к росту затрат и снижению прибыли

Зато даже бюджетники Samsung теперь имеют нормальную производительность. За несколько лет компания втрое нарастила затраты на мобильные SoC Компания Samsung в несколько раз повысила расходы на закупку однокристальных систем для смартфонов за последние годы.  Согласно данным инсайдера Revegnus, за первые три квартала текущего года Samsung потратила на указанную сферу без малого 7 млрд долларов, что на ...

Правительство Германии переложило бремя помощи Украине на Бундесвер Теперь Вооруженные Силы Германии не будут получать компенсацию за переданное Киеву оружие

Новый драйвер для видеокарт Radeon значительно снижает их энергопотребление И реализует некоторые другие функции

Новый драйвер для видеокарт Radeon значительно снижает их энергопотребление И реализует некоторые другие функции

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Тестируем новые ионисторы: взорвутся или нет? Мы продолжаем рассказывать о внутренней кухне Wiren Board. В наших контроллерах используются модули резервного питания WBMZ4-SUPERCAP на суперконденсаторах (ионисторах).Мы постоянно ищем новые компоненты, которые позволят сделать продукты Wiren Board лучше или снизить себест...

Зелёная энергетика: последняя надежда или большой обман? Переход на зелёные, или альтернативные источники энергии — острая тема последних лет. Даже в самые турбулентные годы разговоры про новые ветряки и солнечные панели не прекращаются. Самые разные страны — от небольшого Азербайджана до огромного Китая — ставят себе цели по пере...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

За последние сутки российские войска продвинулись почти на 1 км в Красногоровке Южная часть города теперь находится в руках Вооруженных Сил России

Transition Animation: настраиваем анимацию переходов во Flutter Привет! Меня зовут Айдар Мавлетбаев, я Flutter-разработчик в AGIMA. Современное приложение почти невозможно представить без анимации. И один из ее типов — Transition Animation, или анимация переходов. Ее используют, чтобы переход с одной страницы на другую был плавным и крас...

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Польские пасечники требуют отменить беспошлинный ввоз украинского мёда и грозятся выйти на границу Украинские пчеловоды разоряют польских фермеров, продавая мёд в 10 раз дешевле себестоимости

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

«Пассажиравтотранс» запускает пилотный проект по переходу на электронные путевые листы ГУП «Пассажиравтотранс» первым среди пассажирских перевозчиков в регионе начинает переход на использование электронных путевых листов вместо бумажных. Это пилотный проект по внедрению мобильных рабочих мест.

Свыше 40% топ-менеджеров считают, что благодаря ИИ можно будет сократить количество сотрудников По мнению акул бизнеса, новые технологии помогут компаниям повысить эффективность и сократить затраты на сотрудников

Человек с мозговым чипом от Neuralink научился управлять курсором мыши силой мысли Человек, которому вживили в мозг нейрочип Neuralink теперь может управлять компьютерной мышью без помощи рук, используя силу мысли.

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Украинские силы возобновили артиллерийские обстрелы позиций батальона Восток Однако, по мнению бойцов, на наступательные операции сил у ВСУ пока не хватает

[Перевод] Vue 3: Ваше первое знакомство с компонентом Transition Мы можем значительно улучшить юзер экспириенс, добавив плавную анимацию перехода между различными состояниями в нашем веб-приложении. Vue.js 3 предоставляет мощный компонент Transition, который упрощает процесс внедрения переходов во Vue-приложениях. В этой статье мы рассм...

Пик цен на IP-адреса, государственное регулирование и попытка разблокировать блок 240/4 — что там с переходом на IPv6 Кризис на фоне затянувшегося перехода на IPv6 продолжается до сих пор. Его усугубляет нехватка и подорожание адресов IPv4. Есть мнение, что улучшить ситуацию на рынке можно, если разморозить ранее заблокированный блок 240/4 — его зарезервировали под эксперименты еще на заре ...

Apple продолжает снижать цены на iPhone 15 в Китае, чтобы выдержать конкуренцию с местными компаниями Компания Apple продолжает снижать цены на свои смартфоны iPhone в Китае, чтобы справиться с растущей конкуренцией со стороны местных производителей. В честь 8 марта цены на актуальные смартфоны iPhone во флагманском магазине Apple Store Tmall были снижены...

Mercedes отложила планы по полному переходу на электромобили Помните шумиху о полностью «электрическом будущем» от Mercedes-Benz? Забудьте об этом. Немецкий автопроизводитель тормозит свой амбициозный план по переходу на полностью электрические автомобили (EV) к 2030 году, ссылаясь на замедление роста продаж EV и осторожность клиентов...

Великобритания отказалась помогать США в нанесении ударов по проиранским силам в Ираке и Сирии Американские силы запланировали атаки на объекты ополченцев, спонсируемых Ираном.

Специалисты по COBOL теперь не нужны? Появился свободный компилятор, который снижает потребность в разработчиках Не так давно мы писали о том, что один из старейших языков программирования COBOL, похоже, вскоре уйдёт с рынка. И не потому, что он неактуален, наоборот, пока что этот язык востребован, главным образом в финансовой и банковской отраслях. Всё дело в том, что ему ищут замену,...

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

Microsoft создаёт команду для сохранения старых игр Xbox В связи с переходом к цифровым моделям лицензирования и онлайн-сервисам некоторые игры могут не только исчезнуть из продажи, но и полностью прекратить своё существование. Эта проблема актуальна и для мобильных платформ, и для стационарных игровых консолей. Старые игры также...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Себестоимость компонентов Apple Vision Pro составляет 44% от розничной цены гарнитуры Apple Vision Pro имеет астрономическую цену в 3500 долларов. При этом, по данным исследовательской компании Omdia, стоимость комплектующих AR-очков составляет только $1542. Самым дорогостоящим компонентом Apple Vision Pro является экран. Сообщается, что дисплеи 4K micro…

Настоящий праздник. Sony сделала все игры бесплатными для PlayStation 5 Игровая приставка Sony PlayStation 5 является одной из самых популярных во всем мире, а стоит она сравнительно немного – всего $400 долларов за базовую модель без дисковода. Такая сумма денег фактически равноценна себестоимости приставки, но Читать полную версию публикации ...

Сбер стал лидером по силе бренда среди банков Европы В общебанковском европейском рейтинге, который показывает силу бренда, Сбер лидирует, он находится на 7-й позиции с индексом 88,2, благодаря чему опережает такие компании как, Michelin, Lamborghini и Rolex.

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

ВС РФ уничтожили два танка Leopard и две БМП Bradley на запорожском направлении Теперь Вооруженные Силы Украины предпочитают оперировать малыми группами и в большей степени полагаются на один-два танка или боевые машины пехоты Bradley

[Перевод] Почему текст в нижнем регистре сжимается лучше Буквы в нижнем и верхнем регистре содержат одинаковое количество данных — по 1 байту каждая.Поэтому удивительно, что замена заглавных букв на строчные снижает объём данных.Пример: я взял главную страницу Hacker News и переписал заголовок каждой статьи, капитализировав т...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Новые крышные ветрогенераторы оказались намного эффективнее солнечных панелей Стартап из Калифорнии Aeromine Technologies разработал новый ветрогенератор, который можно устанавливать на крыши коттеджей, таунхаусов и обычных многоэтажных домов. По заявлениям производителя, он собирает на 50% больше энергии, чем солнечные панели, при тех же денежных зат...

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

«Теперь вообще пользоваться не могу, даже ехать не могу. Всё, дождались они», — опубликован «крик души» владельца Lada Vesta Cross NG Пользователь Lada Vesta Cross NG, выпущенной в этом году, Александр Блинов, опубликовал видеоролик и рассказал о проблемах, с которыми он столкнулся. Машина куплена 17 октября 2023, пробег всего 1855км. Уже заменены подрулевые лепестки, проклеен сток на крыше, заменены...

Это Huawei P70 с перископной камерой. Опубликовано качественное изображение Изображения нового флагманского телефона Huawei P70 опубликовал проверенный инсайдер Digital Chat Station. Инсайдер подтвердил, что смартфон получит новую основную камеру с переменной диафрагмой и перископический телеобъектив. Третий модуль, вероятно, предназначен для с...

YandexGPT поможет составить объявление о продаже на Авто.ру Все продавцы Авто.ру теперь смогут написать объявление о продаже автомобиля с помощью генеративной нейросети YandexGPT. Новая функциональность позволяет продавцам автомобилей сэкономить время и силы - нейросеть возьмет на себя задачу создания уникального описания автомобиля ...

Теперь и в снижающих уровень холестерина препаратах нашли метод борьбы с опухолями Исследователи из Weill Cornell Medicine, возможно, увеличили свои шансы в борьбе с агрессивными колоректальными опухолями. Доклиническое исследование показало, что трудно обнаруживаемые предраковые образования, известные как зубчатые полипы, и последующие опухоли в значитель...

«Группа Астра» вывела на рынок новый продукт для автоматизации ИТ-инфраструктуры Платформа Astra Automation позволяет автоматизировать множество рутинных операций по управлению ИТ-ресурсами, а также сокращает затраты на поддержку и администрирование.

Apple отменила разработку Apple Watch Ultra с дисплеем MicroLED Согласно данным от инсайдеров, ссылающихся на одного из основных поставщиков, Apple пришла к выводу, что такой продукт не имеет смысла: новый тип экрана не принесет никаких преимуществ для часов, при этом производственные затраты будут слишком высокими.

Cadillac пересмотрит сроки полной электрификации и сохранит бензиновые автомобили Люксовый бренд Cadillac, входящий в состав General Motors, отходит от своих первоначальных планов по полному переходу на электрические модели к 2030 году. Теперь компания намерена сохранять в своей линейке автомобили с двигателями внутреннего сгорания в ближайшие годы.

Израиль начал отступление из сектора Газа "на ротацию" и подготовки к новым боевым действиям 2024 Официальный представитель ЦАХАЛ Даниэль Хагари: "силы резерва будут задействованы таким образом, чтобы резервисты могли ходить в увольнительные, мы настроим этот процесс постепенно и организованно, так как нам предстоят долгие боевые действия… [Это] существенно облегчит нагр...

Новое исследование снижает шансы на обнаружение жизни на Титане Новое исследование показало, что подповерхностный океан Титана, спутника Сатурна, скорее всего, не подходит для существования жизни.

Самый маленький Docker образ Rust приложения Привет %username%, эта статья про то, как поместить Rust приложение в Docker и получить образ размером с бинарный файл (6 Мб). А также про причины, которые привели к переходу с NodeJS на Rust. Отдельная пара слов о проблемах вначале, переходе на Go, и том, как команда Rust у...

Переезд на Астра Линукс Бывает ситуация, когда вот стоит нормальный, не ветхий дом с жильцами, с работающими коммуникациями. И простоял бы он так еще много лет. Но принято решение проложить новую магистраль и дом ей мешает. Можно снести дом, недалеко построить новый и жильцов туда переселить. А мож...

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

iPhone 15 получил очень дешёвую батарею на 600 циклов зарядки На протяжении достаточно длительного времени пользователи неоднократно жаловались, что процент «здоровья» батареи iPhone 14 быстро снижается всего за несколько месяцев использования. Теперь же инсайдеры сообщили о высокой вероятности того, что последняя линейка iPhone 15 так...

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

Благодаря этому процессору AMD рынок портативных приставок полностью изменился. Появились фото APU Aerith консоли Steam Deck под микроскопом Valve назвала лучшие игры Steam за 2023 год. Там есть Baldur's Gate 3, Hogwarts Legacy и Starfield Портативная игровая приставка Steam Deck основана на гибридном процессоре AMD под кодовым именем Aerith, который относится к линейке Van Gogh. И сегодня у нас есть во...

Toyota 4Runner, Highlander и RAV4 — в числе лучших. В США назвали самые надежные кроссоверы и внедорожники Toyota Ресурс HotCars назвал самые надежные автомобили Toyota из числа новых — моделей 2024 года выпуска. Большинство из них вышли в 2023 году или раньше, так что по ним есть статистика качества и надежности от аналитиков J.D. Power, эти данные в первую очередь и брались...

Цены на компьютерные комплектующие могут вырасти на фоне увеличения производственных затрат Согласно аналитике Digitimes, вслед за повышением цен на материалы, вырастет и стоимость сборки нового ПК.

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

Samsung, ты ли это? Бюджетный Galaxy A15 получит платформу на более чем 400 000 баллов в AnTuTu В своё время Samsung довольно резко сделала свои недорогие смартфоны намного лучше. Похоже, настало время ещё одного такого скачка. Бюджетные Galaxy A05 и A05s уже могут похвастаться платформами Helio G88 и Snapdragon 680 соответственно, а теперь стало известно, что Gal...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Новый драйвер AMD снижает энергопотребление Radeon RX 7800/7700/7600 в режиме ожидания Стоит отметить, что речь идет о режиме работы с подключенными мониторам с высокой частотой обновления

Московская компания разработала светильник нового поколения для школьников Московская компания представила светильник для школьников с LED источником света, не нагревающимся в процессе работы, что снижает опасность получения ожога.

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

[Перевод] Введение в трассировку лучей: простой метод создания 3D-изображений. Часть 3 — реализация алгоритма трассировки лучей Предыдущая главаМы узнали всё, что нужно для перехода к практике! Теперь мы готовы написать наш первый трассировщик лучей. Вы уже должны быть в состоянии догадаться, как работает алгоритм трассировки лучей.for (int j = 0; j < imageHeight; ++j) { for (int i = 0; i < ima...

Российское предприятие сможет выпускать до 2500 кв. м печатных плат в год Омский НИИ приборостроения холдинга Росэлектроника нарастил производственные мощности по выпуску печатных плат, в том числе высокого класса точности, сообщает пресс-служба Ростеха. Теперь предприятие сможет выпускать до 2500 кв. м двухсторонних и 500 кв. м многослойных ...

Отложат на год с лишним: с запретом авторизации в Рунете с иностранной электронной почты повременят В России совсем скоро должен был вступить в силу закон, в котором прописывается требование к российским сайтам и приложениям исключить возможность авторизации при помощи зарубежных сервисов. Теперь его жёсткое внедрение могут отложить. Соответствующий законопроект внес ...

В России создали технологию для усовершенствования строительных материалов В пресс-службе Саратовского государственного технического университета сообщили, что ученые вуза разработали инновационную технологию, которая поможет усовершенствовать строительные материал. Она позволяет создавать силикатные добавки с гораздо более низкой себестоимостью по...

Маскировка не помогла: новое приложение «Тинькофф банка» не продержалось в App Store и дня Замаскированное приложение банка «Тинькофф» для iOS-устройств, которое назвали «Т-Помощь», оперативно удалили из магазина App Store. Теперь при переходе по ссылке, где ранее располагалось приложение, появляется сообщение «Это приложение сей...

Unisoc сделала то, что пока не могут сделать Samsung, MediaTek и Qualcomm. Компания обеспечила поддержку Android 14 на всех своих смартфонных платформах с модемами 5G и LTE Платформы Unisoc – простые и маломощные – используются в бюджетных смартфонах, которые не могут похвастаться выдающимися характеристиками. До недавнего времени и переход на новую версию Android для этих устройств был чем-то очень редким. Но сейчас все измени...

Емкостные кнопки громкости и питания у iPhone 16 потребуют двух специализированных SiP Согласно ранним слухам, смартфоны iPhone 15 Pro должны были получить емкостные кнопки громкости и питания. Затем стало известно, что Apple отложила переход на такое решение, и оно появится в линейке iPhone 16. Теперь на этот счёт появились новые подробности.  Оказ...

Президент Сербии Вучич заявил, что силы НАТО дали карт-бланш на охоту на сербов Вучич заявил об открытой охоте Косово на сербов с разрешения сил НАТО

[Перевод] Погубит ли Java 22 сборочные инструменты? Вступление Я Николай Парлог, представитель отдела разработки Java в Oracle, и, отвечу на вопрос, вынесенный в заголовок — нет, конечно же, нет! Как вы могли только подумать о таком! Но она немного снижает их актуальность, и в правильных обстоятельствах это очень хорошо. Позж...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

Её величество Плоская таблица Слева красиво! Но ничего не понятно. Куча объектов с непонятными связями. Каша, какая-то.Справа техническая красота :) Всё разложено по полочкам, всё находится под контролем. Никакие детали не могут ускользнуть от нашего внимания.Переход к плоской таблице и понимание её архи...

«Сколково»: снижение себестоимости разработок укрепит технологический суверенитет России В ходе Международного экспортного форума «Сделано в России-2023» вице-президент, исполнительный директор кластера энергоэффективных технологий Фонда «Сколково» Алексей Паршиков совместно с экспертами отрасли обсудил снижение себестоимости технологических продуктов для укрепл...

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Apple ускоряет переход Mac на новые чипы Apple Silicon M4 Apple ускоряет переход Mac на новые чипы Apple Silicon M4. Согласно планам, первые компьютеры на M4 появятся уже в этом году, включая iMac и MacBook Pro.

[Перевод] SwiftUI: Разбираемся с identity на примере переходов Концепция идентификации (identity) в SwiftUI не так проста, как могло бы показаться на первый взгляд. Один из лучших способов разобраться в ней — понять роль идентичности в переходах (transitions).В SwiftUI идентификация является ключом к пониманию работы системы рендеринга....

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

ASUS представила кулер-геймпад для ROG Phone 8 с подсветкой и сквозной зарядкой Новый аксессуар для игровых смартфонов ROG Phone 8 и ROG Phone 8 Pro снижает температуру устройства и продлевает срок службы аккумулятора.

CGTN: Инспекция Си Цзиньпина выявила в Хунане качественно новые производительные силы Председатель КНР Си Цзиньпин (Xi Jinping) в понедельник посетил с инспекцией город Чанша (Changsha), столицу провинции Хунань (Hunan) в Центральном Китае. В ходе проверки Си Цзиньпин, также являющийся генеральным секретарем Центрального комитета Коммунистической партии Китая...

Microsoft встроила аркадную игру в инсталлятор Windows. Теперь станет веселее Первую фразу, наверняка, помнят олды, которые ставили своими силами ещё Windows 98. Впрочем, не сказать, что инсталлятор с тех пор радикально изменился. Читать полную статью

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Эксперты считают, что переход на новые стандарты памяти будет доступен лишь после 2030 года Одной из ключевых преград, является дороговизна производства комплектующих нового типа

BYD снизила цены на 100 моделей, чтобы обойти Toyota и Volkswagen BYD собирается обойти Toyota и Volkswagen, предлагая современные автомобили по очень низкой цене. Как пишет Bloomberg, китайский автопроизводитель снизил цены более чем на 100 своих электромобилей и гибридных моделей. Так, например, новый хетчбэк BYD Seagull со сменой п...

Самые надёжные подключаемые гибридные модели автомобилей 2024 года. Составлен новейший рейтинг по данным JD Power, CarEdge и RepairPal Гибридные автомобили выпускаются как минимум с 1899 года, когда Анри Пипер установил электродвигатель в бензиновый автомобиль, чтобы облегчить подъем на холмы. Но рынок стал конкурентоспособным не так давно, и лишь немногие современные модели действительно доказали свою...

Вышла новая утилита для перехода с Windows на macOS Windows Migration Assistant помогает перенести все данные с ПК на Mac.

БПЛА ВСУ загорелся и упал на территории нефтебазы в Курске Беспилотник Вооруженных сил Украины был сбит российскими силами противовоздушной обороны (ПВО) в небе над Курском. Он загорелся и упал на территории нефтебазы, сообщил губернатор Курской области Роман Старовойт в Telegram-канале.

Tekken 8 обошелся компании в 2-3 раза дороже, чем Tekken 7 Затраты на разработку последнего файтинга Tekken 8 от Bandai Namco Entertainment в 2–3 раза выше, чем у Tekken 7.

Новый алгоритм зарядки может удвоить срок службы литий-ионных аккумуляторов Новый метод заключается в использовании импульсного тока, снижающего деградацию компонентов аккумуляторов

Врачи объяснили, помогает ли йогурт снизить риск возникновения диабета Согласно новому постановлению Управления по контролю за продуктами и лекарствами (FDA), йогурт снижает вероятность развития диабета 2 типа. Но есть несколько нюансов.

Игра Soul Slayer Idle доступна на Android в ряде стран Soul Slayer Idle — мобильная игра от студии mobirix, которая появилась в App Store и Google Play. Релиз проекта состоится 30 декабря, если верить маркету Apple. А релиз на Android состоялся ещё 5 декабря в следующих странах: Аргентина, Канада, Филиппины, Саудовская Аравия, Т...

Новейший Kia Sorento уже продаётся в России в максимальной комплектации Новый Kia Sorento в топовом варианте исполнения Master Gravity теперь доступен для приобретения в России. Этот кроссовер, представленный летом, привезли благодаря усилиям экспертов по параллельному импорту. Автомобиль, представленный к продаже, оснащен 2,5-литровым бенз...

MSI начинает выпускать новые BIOS, по умолчанию отключая технологию STAMP для AMD Ryzen 8000G Технология предназначена для ноутбуков и включалась на настольных ПК по ошибке, снижая производительность.

[Перевод] Истерика Apple по поводу нового антимонопольного закона ЕС Истерика Apple по поводу нового антимонопольного закона ЕС уже привела к двум отступлениям, а закон вступил в силу еще неделю назад. Европейская комиссия 2 – Apple 0. Закон ЕС о цифровых рынках действует менее пяти дней, и его правоприменители уже подтолкнули Apple к дву...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

В Космических силах США появились первые «Космические ковбои» В недавно созданных Космических силах США произошло знаковое событие — три офицера (в ведомстве они официально именуются Стражами) совершили марш-бросок в пустыне Западного Техаса, в ходе которого им пришлось выполнять тесты по физической подготовке в соответствии с армейски...

Подлый ход Chrome против блокировщиков рекламы: замедление обновлений расширений В обостряющейся борьбе с блокировщиками рекламы Manifest V3 для Chrome - это новейшее решение Google. Оно не только снижает мощь популярных расширений, блокирующих рекламу, но и предлагает новую тактику - замедление обновления расширений.

«Китайский народ также имеет право на законное развитие». Си Цзиньпин заявил премьеру Нидерландов, что санкции не смогут сдержать Китай Генеральный секретарь Коммунистической партии Китая Си Цзиньпин заявил премьер-министру Нидерландов, что ограничения поставок современных машин ASML не смогут сдержать развитие Поднебесной.  Фото: Li Xueren/Xinhua via AP На днях Си Цзиньпин посетил Нидерланды и вс...

Китай первый в мире применил на своей субмарине Type 039C новую стелс-технологию — наклонный парус Китайская подводная лодка Type-039C получила новый угловой парус. Такая конструкция рубки снижает заметность подлодки для активных гидролокаторов противника на мелководье Тайваньского пролива

ФАС будет бороться с «серым» майнингом ФАС будет бороться с «серым» майнингом, и проконтролирует переход областей на новый энерготариф

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

Сбер представил модели ИИ, способные распознавать русский жестовый язык Команда Сбербанка на международной конференции по искусственному интеллекту AI Journey представила нейросетевые модели, позволяющие распознавать русский жестовый язык. Фото: Nic Rosenau / Unsplash Команда Vision RnD в SberDevices, разрабатывающая одно из таких решений,...

Apple откладывает выпуск новых Apple Watch Ultra из-за сложностей с поставками Apple объявила о задержке выпуска Apple Watch Ultra с микроLED-дисплеем из-за высоких затрат на производство и сложностей в цепочке поставок

Core i9-14900K теряет до 11% fps после применения новой заплатки Intel, превращаясь в Core i9-14900 Производители материнских плат уже начали выпуск обновления BIOS, снижающего напряжение процессора и оказывающего влияние на быстродействие в играх

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

Покрытие на основе мидий помогло в борьбе с инфекциями имплантатов Новое покрытие, созданное на основе мидий, обещает предотвратить инфекции в имплантатах, таких как искусственные бедренные кости. Этот новый подход может предложить значительные преимущества по сравнению с существующими, потенциально снижая риск осложнений и устойчивость к а...

Ученые Кантианы исследовали торфяные добавки к бетонным смесям Ученые Балтийского федерального университета имени Иммануила Канта рассчитывают на применение бетонных смесей с торфяными добавками в строительной 3D-печати. Это позволит снизить себестоимость и улучшить ряд характеристик, включая плотность и прочность.

«Моя волна» в «Яндекс Музыке» теперь выглядит для каждого пользователя по-своему Команда Яндекса сообщила о запуске новой функции в фирменном музыкальном сервисе. Система рекомендаций «Моя волна» теперь для каждого пользователя выглядит индивидуально. Дизайн формируется с помощью генеративных технологий на основе музыкальных предпочтений...

В ближайшие 3 года в Китае может стартовать строительство Кругового Электрон-Позитронного Коллайдера Затраты в размере 5 миллиардов долларов на строительство крупнейшего в мире коллайдера "частица Бога" позволят ученым открыть новые горизонты в понимании фундаментальных законов нашей Вселенной

Компания Cooler Master представила новую игровую клавиатуру MasterKeys MK770 Технология KailhBox V2 и специальная структура клавиатуры обеспечивают плавное нажатие и снижают шум и вибрацию

США продолжают снижать уровень военной «помощи» Украине — в новый пакет войдут вооружения на сумму в $150 млн После 300—500—800 миллионов это и правда критическое снижение.

HUAWEI раскрывает секреты процессора Kirin 9006C: переход на 5 нм Компания HUAWEI раскрыла характеристики нового «домашнего» процессора под названием Kirin 9006C

Аналитики рассчитывают на рост капитальных затрат TSMC Истина раскроется уже завтра.

В следующем году TSMC урежет капитальные затраты до $25 млрд Спрос восстанавливается не так быстро.

Франция выступает за создание европейских сил быстрого реагирования до 2025 года В своей "речи о Европе" Эммануэль Макрон озвучил ряд предложений, призвав ЕС создать более сильный и интегрированный оборонный сектор и, в частности, европейские силы быстрого реагирования, мобилизовав в них 5000 человек до 2025 года.

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Euro NCAP будет снижать рейтинг безопасности авто за обилие сенсорных экранов Европейский комитет по оценке безопасности автомобилей (Euro NCAP) объявил о новых критериях, которые будут применяться с 2026 года

Российские БМП-3 получили "невидимую броню" – новый маскировочный комплекс "Накидка" Российские военные получили партию обновленных БМП-3, оснащенных новейшими комплексами маскировки. Ключевым элементом является "Накидка" – специальный чехол, снижающий заметность машин в ИК-диапазоне и делающий их практически невидимыми для различных систем наведения.

Intel не отказывается от планов по расширению производства чипов в Израиле Общие затраты на него составят $25 млрд.

Renault снизит затраты на выпуск электромобилей вдвое к 2027 году На 30% снизятся затраты на производство машин с ДВС.

В этом году Intel сохранила капитальные затраты на прежнем уровне Хотя наверняка хотела бы увеличить.

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Новая пленка для окон охлаждает дом без использования кондиционера Исследователи из Университета Нотр-Дам представил новый тип покрытия, который создан с целью снижения нагрева помещений солнечным светом. При этом оно не снижает степень освещенности внутри здания. Это позволяет использовать обычные окна без дополнительных приспособлений и з...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Microsoft внедряет режим защиты печати в Windows для повышения безопасности Microsoft внедрила режим защиты печати в Windows, улучшая безопасность против угроз. Этот режим блокирует сторонние драйверы и внедряет новые меры безопасности, снижая риски атак на печать.

Эстонская разведка предупреждает о росте военных сил России у границ НАТО Россия может удвоить силы на границах НАТО

В салоне УАЗ «Патриота», «Пикапа» и «Профи» стало комфортнее и тише: что поменялось? Ульяновский автозавод внес изменения в модели «нового модельного ряда», делая их более комфортабельными. Это касается в первую очередь внедорожника «Патриот», а также «Пикапа» и «Профи», которые теперь оснащены специализир...

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Подорожала самая экстремальная «Нива»: стартовали продажи Lada Niva Bronto 2024 Если ранее Lada Niva Bronto — самая экстремальная из «Нив» — оснащалась мотором, отвечающим нормам выброса «Евро-2», то с переходом в новый модельный год «Нива» стала экологичнее — теперь она отвечает нормам экологич...

Масштабное обновление Windows с переходом на новую платформу и интеграцией ИИ может выйти осенью В Windows Central рассказали, что можно ждать от обновления.

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Twitch увольняет 35 процентов своих сотрудников Подразделение Amazon остается убыточным из-за высоких затрат

AMD увеличила затраты на разработки на 8% преимущественно ради искусственного интеллекта Хватит ли средств на другие направления деятельности?

Поставщики Intel и TSMC столкнулись с многократным ростом затрат на строительство предприятий в США Дорожают как строительные материалы, так и работы.

За что дают социальные налоговые вычеты и как их получить Затраты на лечение и обучение можно компенсировать.

Силы ПВО России уничтожили 36 украинских БПЛА над Крымом и Черным морем Инцидент произошел ночью 29 октября. Уточняется, что дроны самолетного типа Вооруженных сил Украины (ВСУ) были уничтожены дежурными средствами ПВО при попытке совершить террористическую атаку по объектам на территории России.

Новый метод производства Tesla может вдвое снизить затраты на производство В автомобиле Tesla стоимостью 25 000 долл. будет применен инновационный подход к производству, который позволит сократить расходы. Tesla называет новый подход к производству методом "unboxed".

Стартап Hysata производит водород с минимальной потерей энергии с помощью нового метода Потери энергии при новом методе производства водорода минимальны, что также существенно снижает затраты.

Audible привносит аудиокниги на платформу Wear OS Сервис аудиокниг от Amazon, Audible, теперь доступен для пользователей устройств на базе Wear OS, включая смарт-часы от Google. Это обновление предоставляет возможность слушать аудиокниги прямо с устройств Wear OS, что делает процесс прослушивания еще более удобным и мобильн...

Искусственный интеллект уже используется в США для открытия новых лекарств Узнайте о том, как ИИ помогает найти 15 лекарств от 8 основных заболеваний, от которых страдают миллионы людей во всем мире. А также создать препарат, снижающий количество инсультов и инфарктов, уже находится на первой стадии клинических испытаний.

Искусственный интеллект уже используется в США для открытия новых лекарств Узнайте о том, как ИИ помогает найти 15 лекарств от 8 основных заболеваний, от которых страдают миллионы людей во всем мире. А также создать препарат, снижающий количество инсультов и инфарктов, который уже находится на первой стадии клинических испытаний.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Гендиректор Intel «поставил всю компанию» на новейший техпроцесс В недавнем интервью генеральный директор Intel Пэт Гелсингер сделал смелое заявление, заявив: «Я поставил всю компанию на 18A». Это значительный сдвиг по сравнению с его предыдущей позицией, когда он признавал важность 18A, но не заявлял о принципе «все или ничего».

Ученые МФТИ нашли способ улучшить работу чат-ботов с помощью ИИ В пресс-службе МФТИ сообщили, что ученые вуза представили новый метод для улучшения работы чат-ботов, используя искусственный интеллект (ИИ). Они разработали алгоритм, который значительно сокращает время и затраты на подготовку данных для обучения нейросетей.

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Охват Windows 11 не увеличился в декабре 2023, зато новый рекорд у браузера Edge — StatCounter Похоже, крупное обновление не подстегнуло пользователей к переходу на Windows 11.

Apple упростит перенос данных с iPhone на Android Согласно новому закону о цифровых рынках, Apple обязана обеспечить лёгкий переход с iPhone на другие операционные системы

Затраты на искусственный интеллект в ближайшие четыре года будут расти на 50% ежегодно В этом убеждены аналитики UBS.

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

В России создали метод очистки нефтяных труб без их извлечения В пресс-службе Новосибирского государственного университета (НГУ) сообщили, что ученые вуза разработали метод очистки насосных труб в нефтяных скважинах, который позволяет избежать извлечения труб из скважин. Новая разработка, которая была запатентована, сокращает время и за...

Названы самые надёжные седаны с минимальными затратами на обслуживание и ремонт. Рейтинг HotCars Эксперты автомобильного портала HotCars поделились информацией о надежности и доступности обслуживания подержанных седанов на вторичном рынке. HotCars проанализировала данные о надежности, полученные от RepairPal и CarEdge, чтобы составить список. При выборе модели были...

Apple добавила на свой веб-сайт раздел «Причины для обновления» Компания Apple создала раздел на своем сайте для сравнения старых и новых iPhone, чтобы облегчить пользователям переход на более новую модель

В велотренажёр добавили тепловизор — это улучшило похудение Исследователи из Университета Карнеги-Меллона и Индийского института технологий (IIT) Гандхинагара представили новый способ улучшения точности оценки затрат калорий при помощи добавления недорогой тепловизионной камеры к умным устройствам. Эксперименты проводились с использо...

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

«Москвич» празднует год с момента запуска главного конвейера: что изменилось? «Москвич» празднует год с момента запуска главного конвейера. 24 апреля 2023 года была запущена сборка автомобилей на основной сборочной линии протяженностью 480 метров. Спустя 365 дней длина сборочного конвейера остается неизменной и составляет 480 метров ...

Как создать видеоролик с помощью ИИ: пошаговая инструкция Сокращаем время и затраты на производство контента для социальных сетей.

VK Cloud запустила облачный сервис Cloud Kafka Решение позволит бизнесу сократить до 60% затрат на инфраструктуру за счет автомасштабирования Kubernetes

Apple пообещала не повышать цены для компенсации затрат на увеличение экологичности продукции Но это не значит, что компания не будет повышать цены по другим причинам

Контрактный бизнес Intel нужен, чтобы окупить затраты на EUV-литографию А субсидии властей помогут развивать производство чипов в США и Европе.

OpenAI может выпустить в этом году GPT-4.5 вместо GPT-5 из-за нехватки мощностей Рост вычислительных затрат заставляет усомниться в скором выходе GPT-5.

Названы самые надежные автомобили BMW в истории: рейтинг из 17 моделей на основании данных JD Power, CarEdge, RepairPal, NHTSA и IIHS HotCars проанализировал оценки JD Power самых надежных моделей BMW из когда-либо созданных. В рейтинг попали модели, которые получили рейтинг надежности не менее 80/100. Помимо получения рейтингов надежности от JD Power, также использовалась информация о затратах на тех...

На Дальнем Востоке построят новую скоростную шестиполосную автомагистраль В ближайшие четыре года на Дальнем Востоке будет построена шестиполосная автомагистраль протяженностью 18 км. Она соединит федеральную трассу и региональное шоссе, облегчив проезд между поселками. Затраты на проект составят около 20 млрд рублей, строительство начнется в 2026...

Доказано: растительная и вегетарианская диета снижает риск заболеть ковидом на 39% В ходе нового исследования учёные выяснили, как особенности питания людей влияют на заболеваемость, тяжесть и продолжительность заражения COVID-19. Принимали участие в этом исследовании 702 взрослых добровольцев.

Учёные выяснили, как физическая активность помогает защититься от болезней сердца В новой научной работе учёные раскрыли механизм, благодаря которому занятия спортом снижают риск возникновения сердечно-сосудистых заболеваний. Отчасти это связано с работой мозга.

В Томске создали гибридную солнечную систему для школы В пресс-службе Томского государственного архитектурно-строительного университета (ТГАСУ) сообщили, что ученые вуза создали гибридную солнечную систему, которая применена при строительстве новой школы в поселке Жатай Республики Саха. Эта технология значительно сократит затрат...

Почему я веду ИТ-бизнес в России Вы, возможно, знаете правила ведения ИТ-бизнеса в России. Особенно первое. Я тоже знаю, но веду дела именно в России и из России. В последнюю пару лет меня почему-то начали чаще спрашивать о том, почему так. Отвечаю. Во-первых, у нас низкие налоги. Во-вторых, всё ещё ...

Раскрыта польза растительной диеты для здоровья мужчин Рак простаты может незаметно прогрессировать до поздней стадии, которая становится опасной для жизни. Новые данные показывают, что значительно снижает вероятность развития этой формы рака соблюдение диеты, богатой свежими фруктами, овощами и зерновыми,

«Мы больше не конкурентоспособны, как бренд Volkswagen». Глава компании таким образом объяснил грядущую программу экономии Компания Volkswagen не считает себя конкурентоспособной. Об этом на совещании персонала заявил лично генеральный директор компании Томас Шефер (Thomas Schäfer).  Из-за многих из наших ранее существовавших структур, процессов и высоких затрат мы больше не конку...

Популярный кроссовер «Москвич 3» начали собирать по технологии полного цикла, он получил усиленное антикоррозийное покрытие кузова  Раньше по полному циклу в Москве собирали автомобили Renault, а теперь собирают «Москвичи». О переходе предприятия на полный производственный цикл сообщили сегодня Сергей Собянин и глава КамАЗа (это технологический партнер «Москвича») Серге...

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

На фоне отказа от DDR4 в процессорах Intel и AMD темпы перехода на DDR5 будут расти Samsung пророчит рост спроса на модули ОЗУ нового стандарта и наращивает объёмы производства.

Лавочка закрыта: Microsoft заблокировала возможность активации Windows 11 ключами Windows 7 и Windows 8 Компания Microsoft объявила о закрытии канала для бесплатного перехода с операционных систем Windows 7 и 8 на современные версии Windows.   До настоящего времени пользователи могли активировать Windows 11 и 10 с помощью действительных ключей Windows 7, Windows 8 и...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

«Ростелеком» сертифицировал «СКИТ.СП» на соответствие требованиям Минцифры России Решение предназначено для оптимизации операционных затрат на эксплуатацию объектов недвижимости.

Как превратить планшет в полноценный ноутбук – ... Друзья, я расскажу, как превратить ваш планшет в полноценный компьютер, который можно использовать для офисной работы, обучения или просто развлечений. Вы удивитесь, но для этого нужно минимум затрат и ваших усилий.

Как превратить планшет в полноценный ноутбук – ... Друзья, я расскажу, как превратить ваш планшет в полноценный компьютер, который можно использовать для офисной работы, обучения или просто развлечений. Вы удивитесь, но для этого нужно минимум затрат и ваших усилий.

Российские операторы связи обновляют инфраструктуру, неся при этом повышенные затраты Закупки часто производятся в розничном режиме

TSMC не будет улучшать прогноз по годовой выручке и капитальным затратам Даже на фоне не столь плохих результатов третьего квартала.

Генерация контрактов OpenApi или прикладной API first: совсем немного про зачем Новое место, новая позиция, новый продукт! Финтех, камунда, ~ 40 (!) микросервисов за которые отвечает наша команда. Первый спринт. Я в роли наблюдателя. В спринте вроде бы обычная задача - нужно вызвать из одного сервиса другой, и обработать результат. Ребята оценивают, нач...

«Ростелеком» выпустил «Бизнес ТВ» для Android TV Ранее подключить телевидение для бизнеса на устройствах под управлением ОС Android можно было только с помощью специальной приставки. Это требовало затрат на оборудование, установку розеток и размещение проводов от приставок. Новое решение позволяет напрямую установить прило...

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Доказано: диета MIND замедляет старение и снижает вероятность развития деменции Новое исследование показало, что следование диете MIND может снизить скорость биологического старения организма. Вот что конкретно выяснили учёные.

Microsoft обновила Windows 10: больше виджетов на экране блокировки, и не только Компания Microsoft выпустила опциональное обновление для операционной системы Windows 10. Апдейт под номером KB5035941 доступен для Windows 10 версии 22H2 (единственная версия Windows 10, получающая обновления, не связанные с безопасностью).  У пользователей появя...

Новые iPad Pro 2024 поддерживают более низкую частоту обновления, а Always-On Display — нет Новые модели iPad Pro оснащены OLED-дисплеями с частотой обновления, которая может снижаться до 10 Гц, но устройствам по-прежнему не хватает функции постоянно включенного дисплея, как у iPhone и Apple Watch. Хотя iPad Pro поддерживает ProMotion с 2017 года, до сих пор п...

В России подешевели сразу три модели Changan, в том числе популярный кроссовер Changan CS35Plus. Сколько можно сэкономить? Как сообщают «Автоновости дня», три модели Changan в России стали доступнее. Непосредственно сами цены не снижали — стоимость «отрегулировали» при помощи «дополнительной выгоды», то есть прямой скидки. При этом две модели дешеве...

Lada Granta Sport и Granta Cross получили обновленные моторы и подорожали АвтоВАЗ опубликовал прайс-лист на Lada Granta Cross, Granta Sport и Granta Sportline образца 2024 года. Автомобили теперь имеют постфикс «'24» названии и оснащаются модернизированными моторами, отвечающими требованиям «Евро-5». Цены повысилис...

Российские силы ПВО сбили более 100 целей во время массированной атаки БПЛА ВСУ на юге России Российские средства противовоздушной обороны отразили крупнейшую за последнее время атаку Вооруженных сил Украины на объекты в Краснодарском крае и Крыму. Десятки беспилотников были сбиты, но некоторые все же достигли своих целей, вызвав пожары на промышленных объектах.

Селфи-камера Vivo X100 Pro теперь снимает в 4K Компания Vivo выпустила обновление для флагманского смартфона Vivo X100 Pro, которое в числе прочего улучшило работу его фронтальной камеры. Теперь она может снимать видео в разрешении 4K с частотой кадров 30 и 60 fps. Также обновление оптимизирует работу основной камеры, ул...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Глава космической организации Индии доволен окончанием лунной миссии Индия начала исследование поверхности Луны в августе 2023 года, став четвёртой страной, которая успешно посадила аппарат на Луне. Луноход Pragyan («Мудрость») исследовал окрестности южного полюса Луны, но был выключен перед наступлением лунной ночи, которая ...

В России появился современный кроссовер по цене Lada Granta — Livan X3 Pro 2024 отдают за 1,2 млн рублей Livan X3 Pro, который официально предлагается за 1,9 млн рублей, являясь самым доступным китайским кроссовером в России, теперь можно приобрести еще дешевле. Дилер во Владивостоке предлагает этот автомобиль прямо из Китая за 1,2 рублей. Согласно объявлению, за указ...

Все проводники РЖД получат отечественные смартфоны с ОС «Аврора» По сообщению издания «Ведомости» со ссылкой на осведомлённые источники, РЖД собирается перевести всех проводников на российские смартфоны. Известно, что переход пройдёт в два этапа: первую партию из 7000 отечественных смартфонов компания РЖД закупила ещё в к...

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

Microsoft собирается предоставлять доступ к Windows 12 на основе платной подписки Компания рассматривает возможность перехода на SaaS-модель для своей новой операционной системы.

Воздушные силы Италии сняли с эксплуатации вертолеты AB-212 После почти 50 лет службы в составе 9-го крыла вертолетной авиации, вертолеты AB-212 были сняты с эксплуатации Воздушными силами Италии. На церемонии по этому поводу были продемонстрированы достижения и вклад этих вертолетов в национальную и международную безопасность. Верто...

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Nissan и Honda могут объединиться для разработки будущих электромобилей Партнерство Nissan и Honda поможет обоим брендам снизить затраты и увеличить доли рынка электромобилей.

Согласно отчёту МЭА, затраты на аккумуляторы снизятся вдвое к 2030 году Также прогнозируется шестикратное увеличение глобальной емкости энергетических накопителей

Китай в прошлом году увеличил затраты на закупку оборудования для выпуска чипов на 29% И занял треть мирового рынка с точки зрения потребления.

Nvidia упростила дизайн платы своей RTX 4080 Super Founders Edition Более низкая рекомендованная розничная цена требует меньших затрат в производстве

Названы самые надежные седаны с минимальными затратами на обслуживание и ремонт Меньше всего денег будет уходить на Nissan Maxima Platinum 2017 года.

TSMC испытывает трудности с производством чипов для будущего iPhone 17 Это включает в себя последствия мощного землетрясения и высокие экономические затраты на расширение производства.

В Китае запущена первая в мире промышленная станция хранения энергии с натрий-ионными элементами Промышленная станция хранения энергии с натрий-ионными элементами позволит снизить затраты на электроэнергию.

Как выявить токсичных сотрудников в коллективе Один из ключевых факторов, влияющих на атмосферу — наличие или отсутствие токсичных сотрудников в коллективе. Но почему так важно уметь выявлять токсичных сотрудников, и как это влияет на общий успех компании?Токсичное поведение в коллективе — это не просто мелкие неудобства...

NVIDIA GeForce RTX 4090 выдаёт более 400 FPS в Counter-Strike 2, Reflex снижает задержку до 35% GeForce RTX 40 обеспечат отличные впечатления от Counter-Strike 2.

TSMC не станет снижать прогноз по годовой выручке из-за землетрясения Всё идёт по плану.

Таксисты ликуют: «Яндекс» снижает свою комиссию и раздает крутые бонусы Круто!

AMD забыла отключить механизм теплового регулирования STAMP на настольных APU Ryzen 8000G Это снижает производительность.

10 вещей, которые снижают эффективность оральных контрацептивов Не увлекайтесь чаем со зверобоем и мелиссой.

Responsible Statecraft: Неспособность США побеждать на поле боя снижает число желающих служить Все бы ничего, но есть проблемы...

Ученые разработали имплантируемый сенсор для беспроводного мониторинга здоровья Ученые из Пекинского университета представили новый миниатюрный имплантируемый сенсор, способный мониторить состояние здоровья без необходимости транскутанных проводов, интегральных схем или громоздкого оборудования для считывания, что снижает риски инфекции, улучшает биосов...

Программная платформа удваивает скорость компьютера и вдвое снижает энергопотребление С помощью новой программной платформы можно значительно повысить производительность и эффективность уже имеющихся процессоров в ПК, смартфонах и других устройствах.

iPhone 15 Pro Max стоит всего 558 долларов Сегодня появилась информация о том, что смартфон iPhone 15 Pro Max стоит 558 долларов. Точнее, это его себестоимость — всех компонентов и сборки. На фоне этой новости многие пользователи высказывают весьма негативную реакцию, потому что смартфон стоит в официальном магазине ...

Космические силы США «по секрету» сообщили об очередном запуске космоплана Х-37В После шести запусков сверхсекретного космоплана Х-37В старт седьмой миссии, по мнению командования Космических сил США, уже не представляет большой тайны, поэтому было принято решение сообщить о нем официально: он состоится 7 декабря с космодрома Кеннеди.

Военно-воздушные и космические силы Франции используют ИИ для защиты своих баз В результате сотрудничества Военно-воздушных и космических сил Франции и Горной школы Нанси создается система по использованию роботизированных средств ARGOS для совершенствования охраны военных объектов.

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Представлен ИИ-чип следующего поколения Artemis. Он уже используется Meta* Meta* обнародовала подробности о своем ИИ-чипе следующего поколения под кодовым названием Artemis. Этот чип предназначен для удовлетворения постоянно растущей потребности в вычислительной мощности, необходимой для запуска функций искусственного интеллекта в Facebook**, ...

Новый главком украинской армии официально объявил о переходе к оборонительным операциям Сырский: Украина защищает Европу, отдавая самый ценный ресурс - человеческий

Новая игра Tomb Raider получит полностью открытый мир Это кардинально отличает будущую часть серии от предыдущих игр, в которых переход между локациями требовал ожидания и их загрузки

SpaceX «дождалась» банкротства поставщика парашютов и купила его за $2,2 млн. SpaceX «дождалась» банкротства компании Pioneer Aerospace, поставщика парашютных компонентов для космических кораблей Dragon. Сделка стоимостью 2,2 миллиона долларов, одобренная судом по делам о банкротстве во Флориде, обеспечивает SpaceX контроль над критически важными пара...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Каннабис может снизить тягу к некоторым видам наркотиков Исследование, проведенное Университетом Британской Колумбии, указывает на возможность использования каннабиса в качестве инструмента для регулировки употребления наркотиков. В Канаде и соседних США достаточно много людей, которые осознают пагубность своей наркозависимости и ...

[Перевод] Разметка данных при помощи GPT-4 Разметка данных — критически важный компонент проектов машинного обучения. К ней применима старая поговорка «мусор на входе — мусор на выходе». В процессе разметки создаются аннотированные датасеты для обучения и проверки. Однако этот процесс может быть длительным и дорогос...

Япония выделяет $500 млн на модернизацию производства чипов Kioxia и Western Digital Местные власти возьмут на себя до трети капитальных затрат на отрасль микросхем памяти.

Qualcomm крепко взялась за RISC-V. Вместе с другими четырьмя участниками Qualcomm создала компанию Quintauris Компания Qualcomm явно сильно заинтересовалась перспективами архитектуры RISC-V. Сначала стало известно, что новая платформа Snapdragon Wear будет опираться именно на эту архитектуру, а теперь сообщается, что Qualcomm вместе с другими компаниями создаёт компанию Quintau...

Нерациональный выбор: жалко бросать проект, в котором уже много сделано Бывают ситуации, когда предыдущие вложения сил или денег ведут к тому, что лошадь уже сдохла, но бросать её как-то жалко. Это может быть протягивание старого техстека, допиливание легаси, инвестиции в убыточный проект, допиливание невостребованного кода или вообще когда ст...

Обновление BIOS Gigabyte для процессоров Intel Core i9 снижает производительность в играх до 30% Все что требуется – не использовать функцию "BaseLine".

AMD снижает цены на видеокарты серии Radeon RX 7000 на фоне успехов NVIDIA GeForce RTX 40 Super За Radeon RX 7900 XT просят всего 700 долларов

Intel работает над Linux драйвером, снижающим скорость PCIe при перегреве Разработка драйвера ещё не вышла на финишную прямую.

"Алроса" продолжит защиту дикого северного оленя в Якутии "Алроса" не снижает темпы своей работы по защите и сохранению дикого северного оленя на северо-западе Якутии. Компания продолжает сотрудничество с дирекцией биологических ресурсов республики, подписав новое соглашение, охватывающее период с 2023 по 2026 годы, пишет ТАСС.

Big Data в облаке: строим доступное хранилище За последние годы «большие данные» стали восприниматься более гибко и могут включать в себя объемы, которые ранее не рассматривались как «большие». При этом снизились затраты на хранение и обработку информации. Теперь инструменты работы с данными подобных масштабов доступны ...

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

«Теперь можно умереть спокойно»: разработчик добавил в Cyberpunk 2077 звук чавканья Маленькая, но значимая победа преданного разработчика принесла одно из лучших нововведений в Cyberpunk 2077 и показала силу упорства. Лешек Крупиньский, руководитель онлайн-сервисов в CD Projekt Red, рассказал о своей многомесячной борьбе за добавление простой, но желанной ф...

Автомобили в России подорожали в полтора раза или на 1 млн рублей с начала 2022 года По данным аналитического агентства «Автостат», средняя стоимость нового легкового автомобиля в России выросла в полтора раза с января 2022 года. За последние пару лет прибавка составила 1 млн рублей: сегодня средняя цена составляет около 3 млн рублей, тогда ...

ВВС США заключили контракт на создание нового самолёта "Судного дня" Американцы планируют создать новый воздушный центр управления ядерными силами

Как произошел мой переход на AM5 и насколько рациональным было данное решение К чему стоит быть готовым при покупке новых комплектующих, даже приобретая именитые бренды

ИТ-специалистов в РФ продолжают призывать в армию – почему призывники сами виновны в своих проблемах Оказывается, нужно учитывать сроки перехода на новую работу, что чётко прописано в законодательстве

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

Темп перехода Android-пользователей на iPhone замедлился Несмотря на годы стабильного роста, «наступление» Apple, похоже, идет на спад. Новые данные показывают, что в 2023 году меньше пользователей Android перешли на iPhone, чем годом ранее.

Большой, «хищный», современный и невероятно дешевый. Представлен Changan Eado третьего поколения: 170 л.с., 6,14 л / 100 км и цена всего 9850 долларов Changan официально представил седан Eado нового третьего поколения. Автомобиль кардинально сменил свой имидж — теперь у него куда более современный «хищный» дизайн как у Changan Uni-V с безрамочной решеткой радиатора, выдвижными дверными ручками, заход...

Даже в условиях снижения выручки SMIC нарастила капитальные затраты Компания превращается в контрактного производителя чипов, обслуживающего преимущественно внутренний рынок Китая.

Тайваньская Powerchip сообщила об улучшении финансовых показателей в первом квартале 2024 года Компания PSMC из Тайваня отчиталась об оптимизации затрат и улучшении финансовых показателей в первом квартале 2024 года, несмотря на давление со стороны китайских производителей.

NVIDIA: Дженсен Хуанг прогнозирует широкое использование человекоподобных роботов Хуанг подчеркнул, что затраты на производство человекоподобных роботов будут низкими, если сравнивать их с ценовым диапазоном дешевых автомобилей.

Для питания систем ИИ через несколько лет потребуется мощность целой страны Чем эффективнее и доступнее становятся такие системы, тем больше растет спрос на них, что только повышает затраты энергии.

Ozon Travel запустил акцию «Обещать — значит заселиться‎» Если клиенты столкнутся с проблемами в забронированном отеле, сервис оперативно предложит другое жильё и возместит затраты на трансфер.

Новые законы для IT-сферы в РФ Они вступают в силу уже в октябре.

С 1 марта вступает в силу новый запрет, связанный с VPN. Что нужно знать? Привыкаем.

Представлен Mercedes-AMG SL63 SE Performance — самый мощный SL за всю историю Представленный на этой неделе Mercedes-AMG SL63 SE Performance с силовой установкой на 816 лошадиных сил является самым мощным SL за всю историю. Автомобиль сочетает в себе фирменный 4,0-литровый двигатель V8 с двойным турбонаддувом и электропривод AMG. В совокупности к...

Семь лет обновлений Android — вот как на это решилась Google. Компания объяснила, что стояло за этим решением Выпуская свои смартфоны Pixel 8, компания Google поразила рынок своим заявлением о семи годах поддержки, причём все эти семь лет смартфоны будут получать обновления Android. Теперь компания объяснила, что стояло за таким серьёзным решением и почему Google вообще пошла н...

Представлен другой Kia K4 (Cerato) 2024 — теперь в кузове хетчбэк Новый седан Kia K4 оказался довольно сильно измененным по сравнению с Cerato или Forte, которые он заменит на рынке. Однако на автосалоне в Нью-Йорке также представили новый хетчбэк. При этом выпуск Forte (Forte5) в таком кузове компания прекратила еще в 2018 году. Ком...

Глава TSMC заявил, что нужно снижать энергопотребление систем искусственного интеллекта А вы ещё недавно ругали майнеров.

Маркетологи российских компаний снижают рекламные бюджеты Данные получены в ходе опроса Icontext

Microsoft снова «сломала» Windows 11 – обновление 23H2 снижает быстродействие Ryzen 7 5800X3D на 8% Важно учитывать, что проблемы наблюдаются именно в играх

MSI представляет SSD-накопитель Beastly M580 Frozr PCIe 5 со скоростью чтения 14 600 МБ/с SSD оснащён мощным кулером, который по утверждению компании, снижает температуру на 20°C.

NVIDIA Reflex снижает системную задержку на видеокартах GeForce Более 90% геймеров на GeForce используют Reflex, который поддерживается в более чем 100 играх

Microsoft запатентовала технологию, которая снижает потребление видеопамяти при трассировке лучей Если у вас в компьютере видеокарта с 8 ГБ памяти, то помощь уже в пути.

Sea of Thieves получила крупное обновление объмом около 100 ГБ Приключенческий мультиплеерный экшен Sea of Thieves получил крупное обновление, которое оптимизирует игру для современных устройств. Это стало возможным благодаря переходу на актуальную версию Xbox GDK (Game Development Kit) и внедрению поддержки DirectX 12. Студия Rare отм...

Сити-ферма: майним зелень в подвале Сити-фермы становятся все популярнее, поскольку они позволяют выращивать в условиях города экологически чистую продукцию: салат, базилик, рукколу, клубнику, томаты и т.д. На зелень всегда есть спрос со стороны ресторанов, поэтому это еще и неплохой способ  заработа...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)