Социальные сети Рунета
Среда, 22 мая 2024

Самый необычный процессор Intel последних лет. Опубликовано качественное фото CPU Lunar Lake с собственной оперативной памятью На фото ниже можно видеть процессор Intel Lunar Lake-MX в составе референсной платформы. Это одной из самых качественных фото грядущего CPU Intel крупным планом.  Можно видеть не только две микросхемы памяти, что будет отличительной чертой новой линейки, но и...

Новые процессоры Tesla будут производить по тому же техпроцессу, что и Apple A17 и M3 Компания Tesla, как сообщается, будет производить свои новые процессоры для автомобилей на мощностях TSMC по самому современному из доступных техпроцессов.  фото: CNET Изначально Tesla планировала процессоры нового поколения на аризонской фабрике TSMC по нормам N4...

На что же будут способны процессоры AMD, произведённые по техпроцессу 3 нм? Такие CPU поступят в массовое производство уже в третьем квартале Компания AMD уже официально заявляла, что процессоры на основе архитектуры Zen 5 появятся на рынке в этом году. Теперь сообщается, что их массовое производство стартует уже в третьем квартале.  Процессоры на основе Zen 5 будут производиться по техпроцессу 3 нм на ...

Очередной рывок AMD на пути к лидерству. Появились фото и параметры 128-ядерных и 192-ядерных процессоров Turin Компания AMD готовится сделать очередной важный шаг в освоении рынка серверных CPU. В Сеть попали фотографии процессоров Epyc поколения Turin, основанных на архитектуре Zen 5.  Новое поколение Epyc также будет разделено на линейки в зависимости от типа ядер. CPU N...

12 процессорных ядер и iGPU уровня Radeon RX 6500 XT при TDP 25-35 Вт. Такими будут мобильные Ryzen 8000 В Сети появились характеристики процессоров AMD Strix Point, которая придёт на смену текущим Phoenix. Ожидается, что называться такие APU будут Ryzen 804x.  Фото: AMD Согласно данным инсайдера All The Watts, такие процессоры будут производиться на мощностях TSMC п...

Сама Intel не справится, несмотря на все свои амбициозные планы. В следующем году компания заплатит 14 млрд долларов TSMC за производство чипов Компания Intel, как известно, производит почти все свои процессоры сама. Но уже давно понемногу пользуется услугами сторонних компаний для производства далеко не самых важных чипов. Однако на носу выход CPU Meteor Lake, и тут всё меняется. Как сообщается, только в следу...

Intel хвалит свои техпроцессы, но продолжает заказывать чипы у TSMC. Стало известно, какой техпроцесс TSMC будет использоваться для CPU Arrow Lake и Lunar Lake Компания Intel параллельно разрабатывает сразу несколько техпроцессов, желая к 2030 году стать вторым по величине полупроводниковым производителем. При этом в своих собственных CPU Intel использует и продолжит использовать чипы, созданные TSMC. Теперь мы знаем, какие им...

Процессоры AMD Kraken Point предположительно будут иметь четыре ядра Zen 5 и четыре ядра Zen 5c Согласно утечкам, он будет основан на ядрах процессора Zen 5, ядрах графического процессора RDNA 3.5 и аппаратном обеспечении NPU XDNA 2, все они будут изготовлены по техпроцессу N4 TSMC.

Таких процессоров Intel мы в итоге уже не получим. Компания экспериментировала с пятичиплетными CPU Meteor Lake Процессоры Intel Meteor Lake уже вышли. Они имеют до шести больших ядер и восьми малых, если не считать отдельные два ядра в чиплете SoC. Оказалось, что Intel экспериментировала с вариантами Meteor Lake с другой конфигурацией чиплетов и, возможно, большим количеством яд...

От 2 ядер с частотой 3,4 ГГц до 24 ядер с частотой 5,8 ГГц. Подтверждены характеристики 12 процессоров Intel Core 14 поколения (с TDP 35-65 Вт) Инсайдер, известный в Twitter под ником momomo_us, опубликовал перечень готовящихся к релизу процессоров Intel Core 14 поколения с их характеристиками. В таблице представлены CPU, не поддерживающие разгон, с TDP от 35 до 65 Вт. Изображение: Intel В перечне 12 процессор...

iPhone 16 получит новый процессор на техпроцессе в 3 нм В утечках кода операционной системы iOS 18 упоминается, что процессор A18 будет использоваться во флагманских смартфонах серии iPhone 16 от Apple. И хотя текущий топовый процессор A17 Pro был создан с использованием передового технологического процесса процесса 3 нм первого ...

Скоро чипы для смартфонов будут дороже Intel Core и AMD Ryzen? Переход на техпроцесс 2 нм принесёт существенный рост затрат С каждым новым техпроцессом цены на полупроводниковую продукцию повышаются. По крайней мере в последние годы. Как сообщается, переход с норм 3 нм на нормы 2 нм будут обусловлены ростом затрат на производство для компаний на 50%.  Само собой, это скажется на ценах ...

Совершенно новые процессоры Intel, у которых будет максимум восемь ядер и распаянная ОЗУ. Рассекречены CPU Lunar Lake-MX Пока Intel готовится представить процессоры Meteor Lake, в Сеть попало много данных о CPU Lunar Lake. Если точнее, о Lunar Lake-MX, хотя стоит сказать, что ранее приставки MX мы у Intel не видели.  Стоит напомнить, что Lunar Lake создаются, как максимально энергоэ...

Новые процессоры Intel Meteor Lake будут не такими уж и новыми. Компания призналась, что архитектурных отличий от Raptor Lake немного В конце текущего года Intel представит процессоры Meteor Lake, которые предложат и новый техпроцесс, и новые архитектуры для больших и малых ядер, и новый iGPU. Впрочем, как оказалось, относительно архитектур всё не так однозначно.  Сама Intel сообщила, что больши...

Fujitsu анонсировала процессор Monaka со 150 ядрами Armv9 Процессор будет изготовляться по 2-нм техпроцессу и поступит в производство в 2026 году

Intel представила процессоры аж с 288 ядрами, но все эти ядра маленькие. Состоялся формальный анонс CPU Xeon Sierra Forest Компания Intel формально представила процессоры Xeon поколения Sierra Forest, которые выделяются огромным количеством ядер.  создано DALL-E Эти CPU выйдут позже в этом году. В текущем пресс-релизе Intel говорит о данных процессорах относительно телекоммуникационно...

Это первый CPU Intel с собственной ОЗУ, но больших ядер будет максимум четыре. Core Ultra 5 234V из линейки Lunar Lake засветился в Сети Процессоры Intel Lunar Lake выйдут в конце текущего года. И сегодня в Сети засветился один такой CPU уже с нормальным именем.  Core Ultra 5 234V — именно так называется один из процессоров Lunar Lake, и это первый случай, когда мы знакомимся с названием CPU ...

Пора прощаться с Intel Hyper-Threading? Новые слухи опять говорят об отсутствии поддержки многопоточности у процессоров Arrow Lake Похоже, процессоры Intel Arrow Lake действительно не получат поддержку Hyper-Threading даже для больших ядер.  Ранее различные утечки уже на это намекали, теперь же об этом написал инсайдер Golden Pig Update. Согласно его данным, Arrow Lake не получат поддержки мн...

Представлена однокристальная система Snapdragon 7 Gen 3. Первый смартфон на ее базе – Honor 100 Сегодня Qualcomm официально представила однокристальную систему Snapdragon 7 Gen 3 для смартфонов среднего уровня. Новинка производится по техпроцессу 4 нм и является преемницей прошлогодней Snapdragon 7 Gen 1. Производительность центрального процессора Snapdragon 7 Ge...

Всё о Snapdragon 8 Gen 3. Платформа рассекречена до анонса В Сеть попали характеристики и описание платформы Snapdragon 8 Gen 3, причём речь идёт о рекламных постерах.  Итак, в конфигурацию SoC входит одно ядро Prime с частотой 3,3 ГГц, пять ядер Performance с частотой 3,2 ГГц и два ядра Efficiency с частотой 2,3 ГГц. &nb...

Планшет Vivo Pad 3 Pro получит топовый процессор Авторитетный информатор Digital Chat Station поделился подробностями о флагманских планшетах Vivo Pad 3 и Pad 3 Pro, которые еще не были представлены официально. Итак, базовую модель оснастят LCD-дисплеем и новой однокристальной системой Qualcomm SM8635 (Snapdragon 8s Gen 3)...

Интегрированное графическое ядро APU AMD Strix Halo сможет тягаться с RTX 4070 Laptop. Появились подробности и тесты процессора Позже в этом году AMD выпустит мобильные процессоры Strix Halo, которые будут сильно отличаться от всего остального на рынке. И сегодня у нас есть подробности об этих APU.  Stix Halo будут включат три чиплета: два процессорных и один чиплет SoC. Каждый чиплет CPU б...

Intel подтверждает выход процессоров Panther Lake на 18A техпроцессе в 2025 году Генеральный директор Intel Пэт Гелсингер подтвердил, что следующее поколение процессоров Panther Lake будет запущено в производство в середине 2025 года, как и планировалось. Новые процессоры будут основаны на передовом 18A техпроцессе.

Появился образец процессора Intel Lunar Lake с 8 ядрами и 8 потоками Новый 10-нм техпроцесс Intel 20A для роста тактовой частоты, улучшенный iGPU Battlemage и NPU для мультимедиа.

TSMC говорит, что техпроцесс Intel 18A не будет лучше, чем её 3-нанометровый N3P Компания TSMC заявила, что её техпроцесс 3 нм N3P предложит сопоставимые с Intel 18A характеристики, несмотря на то что название техпроцесса Intel указывает на то, что это якобы нормы 1,8 нм.  создано DALL-E Наша внутренняя оценка показывает, что наш техпроцесс N3...

Это будут наконец-то новые настольные процессоры Intel. Arrow Lake-S будут иметь до 25 ядер и TDP до 125 Вт Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года В этом году Intel должна выпустить новые процессоры Arrow Lake, в том числе в настольном сегменте. И сегодня в Сети засветился од...

Пока что у Intel получается задуманное. Компания объявила, что начнёт производить чипы по техпроцессу Intel 20A в следующем году Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Компания Intel заявила, что готова уже в следующем году производить полупроводниковую продукцию по техпроцессу Intel 20A.  Р...

TSMC удешевила процесс создания 4-нм чипов TSMC представила новый техпроцесс производства чипов N4C, призванный сделать 4-нм чипы более доступными. Новая технология опирается на существующие 5-нм и 4-нм техпроцессы (N5/N4), предлагая при этом значительное снижение стоимости.

Процессоры Intel 15-го поколения будут использовать техпроцессы 20A и 18A Как и Meteor Lake, процессоры Arrow и Lunar Lake будут использовать технологии упаковки Foveros.

Более 200 млрд транзисторов для монолитного чипа и более 1 трлн — для чиплетных. TSMC рассказала о будущих техпроцессах На сегодняшний день на рынке уже существуют чипы с более чем 100 и даже 150 млрд транзисторов, хотя зачастую такие монстры имеют чиплетную конструкцию. TSMC говорит, что в обозримом будущем станут возможны монолитные микросхемы с 200 млрд транзисторов.  создано DA...

«Чип мечты» Samsung Exynos 2500 частично рассекречен. Он получит 10-ядерный процессор Платформа Exynos 2400, судя по первым тестам, уже получилась очень неплохой, а порой даже лучше, чем Snapdragon 8 Gen 3. Но впереди нас ждёт новая SoC Exynos 2500 (название предположительное), которая будет совершенно новым продуктом компании. И сегодня в Сети появились...

Представлены первые процессоры на основе техпроцесса Intel 4, причём это вообще не CPU Intel. Ericsson анонсировала новые RAN Compute Уже через несколько недель Intel выпустит процессоры Meteor Lake, которые, кроме прочего, будут первенцами компании, произведёнными по техпроцессу Intel 4. Однако другая компания обошла саму Intel и первой представила свои чипы, созданные по этому же техпроцессу. Ими ст...

Обнаружен процессор Intel Lunar Lake с 8 ядрами произведеный по техпроцессу 18A с графическим процессором на базе Battlemage

Ни Core i9-14900K, ни новые Ryzen 8000 и близко не подойдут к этим монстрам. Рассекречены все модели процессоров Ryzen Threadripper PRO 7000WX 16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Анонс процессоров Ryzen Threadripper PRO 7000WX должен состояться 19 октября, но уже сегодня характеристики вс...

Процессор Exynos 2500 может быть экономичнее, чем Snapdragon 8 Gen 4 Samsung готовит второе поколение мобильных чипов на техпроцессе 3 нм

Даже в 2025 году AMD продолжит выпускать процессоры с ядрами Zen 2, хотя уже в следующем выйдут Zen 5. В Сеть попала свежая дорожная карта В Сети появилась свежая дорожная карта AMD, описывающая характеристики и сроки появления многих будущих процессоров компании.  Из этой утечки мы можем понять, что как минимум в мобильном сегменте мы процессоров с архитектурой Zen 5 в начале 2024 года не увидим.&nbs...

Xiaomi представит первый смартфон на Qualcomm Snapdragon 8S Gen3 уже 14 марта Qualcomm объявила, что 18 марта проведет конференцию, на которой будет официально представлена платформа Qualcomm Snapdragon 8S Gen3. Инсайдер Digital Chat Station заявил, что Xiaomi первой представит смартфон на платформе Snapdragon 8S Gen3, который выйдет во всем мире...

MediaTek Dimensity 9400 получит новое производительное ядро Cortex-X5 В ноябре прошлого года компания MediaTek официально выпустила процессор Dimensity 9300, представив уникальный дизайн с четырьмя производительными ядрами Cortex-X4 — обычно производители используют всего одно такое ядро. Соответственно, в отличие от традиционных конфигураций ...

Появились первые тесты Core Ultra 7 155H: лишь немногим быстрее Core i5-13500H при большем количестве ядер. А вот iGPU действительно хорош Анонс процессоров Intel Core Ultra поколения Meteor Lake состоится уже через неделю, но уже сегодня в Сеть попали результаты тестирования Core Ultra 7 155H.  Тестов пока немного, но всё же они дают какое-то представление о новом CPU. К примеру, в Cinebench разных ...

MediaTek представила процессор Dimensity 6300 Сегодня компания MediaTek официально представила свой новый мобильный процессор, который создавался специально для сегмента среднего уровня. Чип получил название Dimensity 6300 и он является преемником прошлогодней модели Dimensity 6100+ — процессор оснащён разогнанным основ...

Xiaomi 15 и Xiaomi 15 Pro первыми получат Snapdragon 8 Gen 4 с новыми ядрами Nuvia Phoenix. Старшей модели достанется перископический телеобъектив, о чем сообщил Digital Chat Station Известный инсайдер Digital Chat Station сообщил первые детали о флагманских смартфонах Xiaomi 15 и Xiaomi 15 Pro, которые должны быть представлены в следующем году. Он подтвердил, что Xiaomi 15 и Xiaomi 15 Pro будут первыми смартфонами, которые будут оснащены мобильной ...

Nvidia представила чудовищный GPU с 208 млрд транзисторов, но не для геймеров. Это графический процессор Blackwell для ИИ Как и предполагалось на днях, сегодня на мероприятии GTC 2024 компания Nvidia представила свой новый GPU — первый из поколения Blackwell.  На этом графическом процессоре будут построены ускорители для ИИ нового поколения. Анонс довольно объёмный, хотя Nvidia...

Процессоры Ryzen 8000 и Ryzen 9000 предложат больше ядер, чем предшественники. В Сеть попала дорожная карта AMD, описывающая Zen 5 и Zen 6 В Сеть попала дорожная карта AMD, описывающая ряд ключевых особенностей будущих продуктов компании. Её раздобыл автор канала Moore's Law Is Dead.  обложка видео источника В документе, кроме прочего, описываются архитектуры Zen 5 и Zen 6.  Первой, конечн...

TSMC не боится конкуренции со стороны техпроцесса Intel 18A Её техпроцесс N3P сопоставим по характеристикам и будет освоен гораздо раньше.

Intel ударными темпами осваивает новые техпроцессы, но не сможет отказаться от помощи TSMC даже через два года Как известно, компания Intel далеко не только своими силами производит чипы для процессоров Meteor Lake. Согласно новым данным, даже CPU Nova Lake, которые ожидаются только в конце 2025 года, тоже продолжат использовать чиплеты, произведённые TSMC.  Сейчас в CPU M...

Intel выбирает техпроцесс 2-нм TSMC процессоров поколения "Nova Lake" Intel, как сообщается, выбирает 2-нанометровый техпроцесс TSMC для процессоров поколения "Nova Lake"

Samsung снова останется не у дел. Qualcomm и MediaTek выбрали TSMC для производства Dimensity 9400 и  Snapdragon 8 Gen 4 Несмотря на ряд новостей об успехах Samsung в освоении новых техпроцессов для производства полупроводников, как сообщается, компании Qualcomm и MediaTek решили для своих новых флагманских платформ использовать только мощности TSMC.  создано DALL-E Как сообщается, ...

Пока обычные пользователи получат новые процессоры Intel без Hyper-Threading, новые Xeon нарастят количество ядер вдвое. Появились подробности о Granite Rapids-AP Компания Intel недавно формально анонсировала серверные процессоры Xeon 6, которые являются шестым поколением Xeon Scalable. Сегодня же о них появились новые данные.  Речь о линейки Granite Rapids-AP, то есть это процессоры, включающие только большие ядра. Это буд...

Что будет общего у GeForce RTX 50 и SoC Apple M3? Новые GPU Nvidia также перейдут на техпроцесс 3 нм Видеокарты линейки GeForce RTX 50 будут основаны на графических процессорах, которые будут производиться по техпроцессу 3 нм.  Текущее поколение GPU Ada Lovelace производится по нормам 5 нм на мощностях TSMC. Новое будет производиться там же, но уже по нормам 3 нм...

Новые процессоры AMD будет производить Samsung Компания Samsung, похоже, может наконец-то более серьёзно соперничать с TSMC на полупроводниковом рынке. Сообщается, что корейскому гиганту даже достанутся заказы на процессоры AMD.  фото: AMD Если точнее, якобы именно Samsung будет производить серверные процессор...

Это самый сложный и современный чип китайской разработки. Nio представила SoC Shenji NX9031 с 50 млрд транзисторов и 32 ядрами Пока компания Huawei вместе со SMIC привлекают внимание их 7-нанометровой SoC Kirin и слухами о работе над 3-нанометровыми чипами, китайская компания Nio, которая к полупроводникам в целом отношения не имеет, создала собственный чип по техпроцессу 5 нм.  Однокрист...

Минимум до 160 ядер. В Сеть попали параметры процессоров AMD Epyc поколения Turin В Сеть попали параметры процессоров AMD Epyc нового поколения Turin, которые ожидаются в конце текущего года.  Новинки будут опираться на ядра Zen 5 и Zen 5C в зависимости от линейки. Перед нами, видимо, параметры и моделей Prometheus с ядрами Zen 5c, и моделей Ni...

Мощных видеокарт китайской разработки в ближайшее время не ждать? Санкции США ударили по компании Moore Threads США запретили поставки в Китай даже GeForce RTX 4090 Свежие санкции США коснулись не только поставок различных GPU в Китай. Также в американский чёрный список попали некоторые китайские компании, включая Moore Threads, которая известна своими видеокартами. Точных данны...

256 ядер, PCIe 6.0 и 3-нанометровый техпроцесс. В следующем году Ampere Computing выпустит процессор AmpereOne-3 Компания Ampere Computing является заметным игроком на рынке процессоров для облачных серверов. И теперь компания рассказала о своём монструозном процессоре AmpereOne-3, который выйдет уже в следующем году.  AmpereOne-3 впечатляет буквально всем. Тут и техпроцесс ...

2-нм техпроцесс и стоимость гаджетов Apple: что общего и почему последние будут дорожать Если компания Apple в гаджетах следующих поколений начнёт использовать 2-нм процессоры, а вероятность этого велика, то стоимость новых устройств может неприятно удивить поклонников бренда. Чипы по 2-нанометровому техпроцессу сейчас осваивает эксклюзивный «яблочный» партнёр —...

Анонсированы китайские процессоры Zhaoxin KX-7000: 7 нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Сегодня в Китае состоялась официальная премьера процессоров Zhaoxin KX-7000 для настольных ПК. Эти CPU производятся по техпроцессу 7 нм и выглядят практически в точности как Intel Alder Lake или Raptor Lake. Производитель лишь говорит о «сокете типа LGA», но...

Поколение видеокарт GeForce RTX 50 будет производиться по 3 нм процессу Nvidia готовит выпуск нового поколения видеокарт GeForce RTX 50, которое будет производиться по передовому 3 нм техпроцессу и обещает значительный прирост производительности

Samsung дадут производить только дешёвые чипы. AMD воспользуется 4-нанометровым техпроцессом корейского гиганта для выпуска бюджетных APU Компания AMD сейчас производит практически все свои CPU и GPU на мощностях TSMC. Но вскоре компания может начать пользоваться и услугами Samsung.  Как сообщает инсайдер Revegnus, корейский гигант действительно будет производить для AMD чипы. Речь о техпроцессе 4 н...

Ранее такое сложно было представить, но Qualcomm может скопировать действия MediaTek. SoC Snapdragon 8 Gen 4 якобы не будет иметь малых ядер Недавно на рынок вышла SoC Dimensity 9300, у которой вообще нет энергоэффективных малых ядер Cortex-A. Похоже, Qualcomm собирается скопировать у MediaTek такой подход.  Согласно данным инсайдера Digital Chat Station, Snapdragon 8 Gen 4 тоже не будет иметь малых яд...

MediaTek готовится к массовому производству нового флагманского процессора Dimensity 9400 MediaTek Dimensity 9400: новый флагманский процессор на втором поколении 3-нанометрового техпроцесса TSMC.

От концепции big.LITTLE переходим к big.VERYBIG? Платформа Dimensity 9400 не получит малых ядер CPU и будет иметь четыре суперъядра Глава компании MediaTek заявил, что SoC Dimensity 9400 выйдет в четвёртом квартале текущего года.  Новая платформа будет первым решением MediaTek, которое будет производиться по техпроцессу 3 нм. Этот же техпроцесс должна использовать SoC Snapdragon 8 Gen 4.  ...

TSMC увеличит производство новых процессоров В 2023 году у компании TSMC был только один клиент на 3-нм техпроцессе — компания Apple заказала очень много продукции и заняла все производственные мощности производителя. Однако по мере привлечения новых клиентов TSMC должна обеспечить расширение своих производственных воз...

Intel делает большие ставки на этот техпроцесс. Intel 14A превзойдёт Intel 18A на 15% по производительности на ватт Компания Intel недавно заявила, что буквально ставит всё на техпроцесс 14A, который должен быть готов к 2026 году. Теперь компания раскрыла некоторые детали об этом техпроцессе.  По сравнению с Intel 18A новый техпроцесс принесёт 15-процентный прирост показателя п...

Intel будет выпускать процессоры по техпроцессу в 2 нм Конкуренция в полупроводниковой индустрии с каждым днём нарастает — особенно с учётом анонсов от компаний TSMC и Samsung Foundry о запуске производства на 2-нанометровом техпроцессе в середине 2024 года (это приблизительно, но в конечном итоге в течение следующего года произ...

MediaTek Dimensity 9400 будут производить по новому техпроцессу Процессоры MediaTek Dimensity 9400 и Qualcomm Snapdragon 8 Gen 4 неоднократно обсуждались в сети, потому что производители анонсировали свои передовые разработки как первые 3-нм чипы, предназначенные для будущих Android-флагманов. Теперь появилась информация о том, что Media...

Грядущие видеокарты Nvidia серии RTX 50 получат прорывной 3-нм техпроцесс По словам надежного источника kopite7kimi, видеокарты Nvidia серии RTX 50 будут оснащены 3-нм техпроцессом TSMC, обещая потрясающий прирост производительности на 15% и снижение энергопотребления на 30%, что выгодно для кошелька. И это еще не все.

И в настольном сегменте у Intel наконец-то будет что-то новое. Очередной процессор линейки Arrow Lake засветился в Сети В Сети в очередной раз засветился процессор Intel Arrow Lake-S. Название модели отсутствует, но зато известно о наличии 24 потоков. При этом количество ядер неизвестно, так как, напомним, малые ядра у Intel не поддерживают Hyper-Threading.  Утечка также указывает ...

На техпроцессах Samsung 2 нм и 3 нм начнут выпускать прототипы Смарт-часы Galaxy Watch 7 могут стать первыми с чипом на техпроцессе SF3

Источник: Nvidia GeForce RTX 50 производится по 3-нм техпроцессу и совместим с DisplayPort 2.1 Архитектура Blackwell, которая ляжет в основу RTX 50, построена с 3-нм техпроцессом TSMC.

Apple готовится перейти на техпроцесс 2-нанометра Компания Apple готовится перейти на использование 2-нанометровых гейт-на-пластине (GAA) техпроцессов от TSMC

TSMC представила усовершенствованный 1,6-нм техпроцесс для чипов 2026 года Анонсированы чипы TSMC 1,6 нм с усовершенствованным техпроцессом и улучшенной производительностью

Intel расширяет партнерство с Пентагоном для разработки передовых техпроцессов В рамках программы RAMP-C Intel и Пентагон будут совместно работать над созданием чипов по перспективному техпроцессу 18A для нужд армии.

MediaTek представит Dimensity 9400 уже в этом году Если верить информации инсайдеров, новый процессор Dimensity 9400 от MediaTek должен быть анонсирован уже в этом году, и похоже, что MediaTek планирует вести борьбу с Snapdragon 8 Gen 4 в плане производительности своего флагманского процессора нового поколения. Согласно инфо...

Вопреки всем санкциям США китайская SMIC всё же создаёт для Huawei платформу Kirin по техпроцессу 5 нм Компания SMIC, как сообщается, всё же создаёт для Huawei однокристальную систему Kirin с использованием техпроцесса 5 нм.  фото: Bloomberg У SMIC нет доступа к литографам, использующим жёсткий ультрафиолет (EUV), поэтому чип создаётся на более старых аппаратах с и...

Трудно сделать 7-нанометровый чип лучше 5-нанометрового, но Huawei попробовала – и у неё почти получилось Kirin 9000S стала первой собственной однокристальной системой Huawei впервые за долгое время. По данным китайских СМИ, эта SoC производится SMIC по техпроцессу 7 нм и является вариантом 5-нанометровой Kirin 9000, которую для Huawei производила TSMC. Изображение: Huawei...

Это самый современный техпроцесс Intel на сегодня. Компания Faraday разработает 64-ядерную SoC на основе Arm Neoverse под техпроцесс Intel 18A Похоже, Intel заполучила заметного клиента для своего самого современного техпроцесса. О заключении соглашения объявила компания Faraday Technology.  фото: Arm Faraday опубликовала пресс-релиз, где рассказала, что будет сотрудничать с Arm и Intel в процессе разраб...

Intel опередит даже TSCM, но касается ли это качества? Компания первой начнёт производить чипы по техпроцессу 2 нм Компания Intel много лет отставала от TSMC и Samsung в темпах освоения новых техпроцессов. Даже когда она переименовала свои техпроцессы, чтобы они соответствовали разработкам конкурентов, всё равно отставание сохранялось. Однако теперь сообщается, что продукция, произв...

Насколько быстрым оказался новый iPad Pro? Появились результаты первых тестов Новейший планшет iPad Pro от Apple стал первым в мире устройством, оснащенным однокристальной системой Apple M4. Теперь устройство появилось в базе данных Geekbench. На соответствующей странице показано, что одноядерный результат iPad Pro M4 составляет 3767, а многоядер...

Процессоры Intel Arrow Lake-S придут на смену Core 14-го поколения и будут до 15 % быстрее — инсайд При этом конфигурация ядер должна остаться прежней.

Теперь бюджетные дискретные видеокарты точно останутся позади. Графическое ядро Ryzen 8050 будет быстрее, чем RTX 2050 и RX 6400 Компания AMD в этом году выпустит мобильные процессоры нового поколения. Среди них будут монструозные Strix Halo с 2560 потоковыми процессорами в iGPU, а будут и Strix Point, которые получат до 1024 потоковых процессоров. Согласно свежим данным, такой iGPU будет быстрее...

Теперь можно создавать SSD со скоростью почти 15 ГБ/с. Представлен контроллер Silicon Motion SM2508 Компания Silicon Motion полноценно представила свой контроллер SM2508 для твердотельных накопителей, улучшив его показатели с момента прошлого анонса.  Теперь производитель обещает скорость передачи данных до 14,5 ГБ/с против 14 ГБ/с, о которых речь шла ранее. Про...

Intel призналась, что сверхважный для неё техпроцесс Intel 18A станет массовым только в 2026 году, а в 2025 будут доминировать Intel 10 и Intel 7 Компания Intel не раз акцентировала внимание на том, как важен для неё техпроцесс Intel 18A. Первые чипы по этому техпроцессу, согласно ранним данным самой Intel, должны были бы выйти на рынок уже в конце текущего года. Правда, теперь оказалось, что действительно м...

Пиковая мощность этого процессора Intel может достигать 922 Вт. В Сети засветился 64-ядерный Xeon Platinum 8592+ В Сети появилась первая утечка, касающаяся новых процессоров Intel Xeon Scalable поколения Emerald Rapids, которые будут представлены 14 декабря вместе с Meteor Lake.  Засветился флагманский Xeon Platinum 8592+, который предложит 64 ядра, что на фоне 96-ядерных мо...

Умные часы Samsung Galaxy Watch7 будут самыми передовыми на рынке по этому параметру. Их платформа Exynos W1000 будет производиться по техпроцессу 3 нм Компания Samsung готовится начать производство полупроводниковой продукции по техпроцессу 3 нм, догнав таким образом TSMC.  Первым чипом нового поколения, как ожидается, будет SoC Exynos, но не для смартфонов. Это будет Exynos W1000 для будущих умных часов Samsung...

Это целая пластина с процессорами Intel Granite Rapids. Похоже, только они будут выпускаться по нормам Intel 3 Автор HardwareLuxx Андреас Шиллинг (Andreas Schilling) опубликовал фотографию 300-миллиметровой пластины с первыми процессорами Intel, произведёнными по техпроцессу Intel 3.  Это серверные CPU Granite Rapids, которые выйдут в текущем году и заменят Sapphire Rapid...

Qualcomm официально представила Snapdragon 7+ Gen 3 Компания Qualcomm сегодня анонсировала свой новый процессор под названием Snapdragon 7+ Gen 3, который относится к чипам среднего класса и обладает достаточно неплохим набором возможностей. Платформа является эволюцией Snapdragon 7 Gen 3 и предоставляет производительное ядро...

Intel представила процессоры Core Ultra — многочиповая компоновка, новая графика и блок NPU Для производства вычислительной плитки используется техпроцесс Intel 4.

TSMC представила техпроцесс A16 (1,6 нм) Taiwan Semiconductor Manufacturing Company объявила о планах по производству высокотехнологичных 1,6-нм чипов, которые будут использоваться в будущих поколениях процессоров. Кевин Чжан, старший вице-президент TSMC по развитию бизнеса, сообщил журналистам, что компания…

Пентагон впервые получит доступ к самому передовому техпроцессу производства чипов благодаря Intel Компания Intel углубила своё партнёрство с Пентагоном. Теперь крупнейший в мире производитель потребительских процессоров и Министерство обороны США договорились работать вместе над созданием первых тестовых образцов передовых процессов производства полупроводниковой пр...

APU AMD следующего поколения Sound Wave будет использовать архитектуру Zen6 Сообщается, что AMD сейчас работает над новой серией APU на базе 3-нм техпроцесса

Cerebras представила чип для искусственного интеллекта с 900 тысячами ядер Компания представила свой процессор третьего поколения для искусственного интеллекта в 57 раз больший, чем самый графический процессор Nvidia H100

MediaTek представила процессор Dimensity 9300+ Сегодня компания MediaTek представила новый процессор Dimensity 9300+ — свежий флагманский чип, который получил ряд улучшений по сравнению с оригинальным процессором. Например, теперь он оснащён основным производительным ядром Cortex-X4 с тактовой частотой до 3,4 ГГц — у Dim...

Intel, видимо, не особо верит в успех Windows-ПК с Arm Судя по обилию информации, в следующем году нас ждёт немало ноутбуков с Windows, основанных на совершенно новых процессорах на архитектуре Arm. Такую платформу уже анонсировала Qualcomm, что-то совместно готовят Nvidia и MediaTek, и AMD приписывают аналогичные планы. Гл...

Представлены процессоры Intel Core Ultra 100 Intel представила новые процессоры серии Core Ultra 100 на архитектуре Meteor Lake с применением техпроцесса TSMC N5/N6, которые предназначены для ноутбуков, портативных приставок и мини-компьютеров. Особое внимание производитель уделил графической подсистеме. Особенности…

Китай «обходит» санкции США, используя RISC-V. Китайская компания SophGo создаёт 64-ядерный процессор на основе технологий американской SiFive Китайская компания SophGo разрабатывает процессор на основе архитектуры RISC-V, используя решения американской компании SiFive.  создано DALL-E Процессор под названием SG2380 имеет 16 ядер SiFive P670, каждое из которых по производительности примерно соответствует...

AMD выпускает самый большой и сложный в мире GPU со 192 ГБ памяти и гигантский APU со 128 ГБ. На рынок выходят чипы Instinct MI300A и Instinct MI300X Монструозные специализированные чипы Instinct MI300A и Instinct MI300X компания AMD представила ещё в июне, но лишь сейчас она объявила о доступности этих продуктов, а заодно раскрыла больше деталей.  Напомним, Instinct MI300X представляет собой ускоритель на осно...

Стали известны характеристики и производительность чипсета Dimensity 9400 Новинка будет выпускаться на 3-нм техпроцессе и получит четыре ядра Cortex-X

То есть грядущие процессоры Intel Arrow Lake будут актуальны менее года? Компания собирается сменить их поколением Panther Lake уже в середине 2025 года Процессоры Intel Arrow Lake ожидаются во второй половине текущего года. Ориентировочно, они выйдут осенью, при этом некоторые модели могут выйти ощутимо позже, вплоть до начала 2025 года. Теперь оказалось, следующее за Arrow Lake поколение придётся ждать совсем недолго ...

Acer представила новый игровой ноутбук Nitro 17 Он оснащен процессорами Intel Core 14-го поколения с повышенной мощностью и частотой ядра для эффективного управления рабочими нагрузками

Китай на пути к технологической независимости: представлена однокристальная система Unisoc T765 Компания Unisoc представила новейшую однокристальную систему Unisoc T765 с поддержкой сетей 5G для мобильных устройств среднего ценового сегмента. Unisoc T765 производится по нормам 6-нм техпроцесс EUV, мобильная платформа получила два больших ядра A76 с частотой 2,3 ГГ...

Ryzen 9 10950X будет 32-ядерным? Архитектура Zen 6 принесёт чиплеты с 16 ядрами Zen 6 и 32 ядрами Zen 6C Грядущие настольные процессоры AMD на основе архитектуры Zen 5 не принесут увеличения количества ядер. Но это ожидается для архитектуры Zen 6.  Согласно свежим данным, для этой архитектуры будет существовать три типа чиплетов: с 8, 16 и 32 ядрами на чиплет.  ...

Подтверждены кодовое название и конфигурация ядра Qualcomm Snapdragon 8 Gen 4 Новая утечка гласит, что Snapdragon 8 Gen 4 будет иметь кодовое название «sun» с 8-ядерным процессором - с двумя большими и шестью средними ядрами ЦП.

Видимо, никаких по-настоящему дешёвых новых процессоров от AMD мы не получим. Компания обновила упаковку четырёхлетнего Athlon 3000G Компания AMD уже очень давно не выпускала никаких новых действительно дешёвых настольных процессоров. Настолько давно, что решила обновить упаковку APU Athlon 3000G, который вышел четыре года назад!  Напомним, APU содержит всего два ядра Zen первого поколения с ча...

Неясно, получится ли у Qualcomm конкурировать с Intel и AMD в сегменте CPU для ноутбуков, но компания также собирается выпустить серверный процессор Ресурс Android Authority, который сегодня подлил масла в огонь скандала с новыми SoC Snapdragon X, также рассказал, что Qualcomm создаёт серверный процессор на тех же ядрах Oryon.  фото: Qualcomm CPU под кодовым именем SD1 будет иметь 80 таких ядер с частотой до 3...

SoC Apple M3 Pro не только имеет меньше ядер, чем M2 Pro, но и содержит меньше транзисторов Новые однокристальные системы Apple M3 перешли на техпроцесс 3 нм, но при этом не все стали лучше относительно прошлого поколения, если судить исключительно по характеристикам. Та же M3 Pro не только получила меньше ядер и меньшую пропускную способность памяти, она элем...

Подтвердилась конфигурация ядер и пиковые частоты K процессоров Intel Core 14-го поколения 24, 20 и 16 ядер получат разблокированные 14900K, 14700K и 14600K.

Несмотря на все санкции США, Huawei всё же может получить 5-нанометровые SoC. Бывший глава TSMC и IBM считает, что SMIC сможет освоить этот техпроцесс Недавно компания Huawei нашумела своей SoC Kirin 9000s, которая выпускается по техпроцессу 7 нм на мощностях SMIC. Судя по всему, на этом Huawei и SMIC могут не остановиться и освоить даже нормы 5 нм.  создано DALL-E Бывший руководитель TSMC и IBM Линь Бёрд-Джень ...

Huawei победила американские санкции: у китайской компании появился собственный 5-нанометровый процессор Пять лет под жесткими американскими санкциями, которые отрезали Huawei от передовых однокристальных систем, дали свой результат: у компании появился свой собственный 5-нанометровый процессор, произведенный в Китае.  C этим процессором вышла интересная история. Пят...

Таких GPU Nvidia ждать ещё два года. Появились подробности о графическом процессоре R100 поколения Rubin Nvidia только недавно представила архитектуру Blackwell и ускорители на её основе, они ещё даже не попали на рынок, а в Сети уже появилась информация, что новое поколение покажут уже в следующем году.  Аналитик TF International Securities Мич-Чи Куо говорит, что м...

288-ядерный процессор Intel Clearwater Forest будет использовать передовую 3D-упаковку Foveros Новый чип должен появиться в 2025 году на базе техпроцесса 18A.

В производстве процессоров Intel Arrow Lake и Lunar Lake будут применяться 3-нм техпроцессы TSMC В частности, TSMC N3B для Intel Lunar Lake.

Процессор Huawei Kirin 9000S отстает от оригинального Kirin 9000 В этом нет ничего удивительно, учитывая использование менее продвинутого 7-нм техпроцесса у нового процессора

TSMC выпустит основания для стеков HBM4 по 12- и 5-нм техпроцессам Представители TSMC сообщили, что 12 нм техпроцесс позволяет делать наборы чипов памяти объемом 48 и 64 Гб. В опытных изделиях удалось обеспечить 2 тысячи соединений с другими кристаллами и обеспечить скорость передачи данных 6 млрд транзакций в секунду при токе 14 мА.

Нет, в этом Huawei пока не преуспела. Анализ показал, что SoC Kirin 9010 в смартфоне Pura70 Ultra всё ещё производится по старому техпроцессу 7 ем Ресурс TechInsights разобрал смартфон Huawei Pura70 Ultra и убедился в том, что новая SoC Kirin 9010 не использует новый техпроцесс.  В данном случае на самом деле какие-то специальные технологии или знания не требуются. Kirin 9010 очень похожа на Kirin 9000s по п...

Qualcomm будет выпускать Snapdragon 8 Gen 5 сразу на двух заводах Флагманский процессор Snapdragon 8 Gen 4 будет эксклюзивно производиться на втором поколении 3-нм техпроцесса компании TSMC, но его преемник, гряущий процессор Snapdragon 8 Gen 5, возможно, перейдёт к двухфабричному подходу, поскольку по данным инсайдеров, компания Qualcomm ...

Процессоры Intel следующего поколения Granite Rapids-AP Xeon 6 будут иметь до 128 P-ядер Четыре SKU этой линейки, включающие Xeon 6980P, 6979P, 6972P и 6960P, основаны на архитектуре Redwood Cove P-Core и имеют различные конфигурации ядер, вплоть до 128 ядер

В сети появилась первая информация о будущем чипсете Dimensity 9400 Новый Dimensity 9400 будет основан на 3-нм техпроцессе TSMC второго поколения и предложит значительные улучшения конструкции и производительности

Представлены процессоры Intel Xeon Emerald Rapids. Моделям с 64 ядрами придётся конкурировать с 96-ядерными монстрами AMD Сегодня Intel представила мобильные потребительские процессоры Meteor Lake, которые, судя по первым тестам, получились, мягко говоря, невпечатляющими. Но также сегодня компания анонсировала пятое поколение серверных CPU Xeon Scalable — Emerald Rapids.  Новые...

Apple представила чипы M3, M3 Pro и M3 Max В рамках октябрьской презентации компания Apple представила свои новые чипы — M3, M3 Pro и M3 Max. Новинки выполнены по 3-нм техпроцессу и по ряду характеристик заметно превосходят предшественников.Читать дальше... ProstoMAC.com.| Постоянная ссылка | No comment Вы также мо...

Apple разрабатывает собственный процессор для серверов искусственного интеллекта Новый чип, по слухам, будет использовать 3-нм техпроцесс TSMC и поступит в массовое производство в 2025 году

Топовые системные платы для процессоров Ryzen 9000 будут весьма дорогими. Всё из-за USB4 со скоростью 40 Гбит/с Компания AMD в этом году выпустит новые процессоры на основе архитектуры Zen 5. Согласно свежим данным, топовые системные платы для таких CPU будут весьма дорогими.  В частности, платы на основе чипсета X870E будут в обязательном порядке оснащены портами USB4 со с...

Представлены процессоры Intel Core i9-14900K/KF, Core i7-14700K/KF и Core i5-14600K/KF. В сравнении с прошлым поколением цены не выросли Компания Intel представила процессоры Core 14-го поколения (Raptor Lake Refresh). Как и ожидалось, сегодня анонсировали только старшие модели с разблокированным множителем.  Утечек об этих CPU было множество, так что ничего нового, кроме цены, Intel не раскрыла. Н...

NVIDIA может начать массовое производство GPU следующего поколения R100 в 4 квартале 2025 года Чип будет производиться по 3-нанометровому техпроцессу TSMC и оснащаться HBM4 памятью.

В 30 раз слабее Nvidia H100, но зато в 200-400 раз дешевле. Китайская компания Intellifusion представила чип ИИ всего за 140 долларов Пока самые производительные ускорители для ИИ стоят десятки тысяч долларов, китайская компания Intellifusion представила своё решение, которое на несколько порядок дешевле.  Блок ИИ под названием Deep Eyes представлен в виде SoC DeepEdge10Max и обойдётся клиентам ...

Samsung Exynos 2500 получит новое производительное ядро Cortex-X5 Только недавно появились первые тесты производительности и эффективности процессора Exynos 2400, как в сети уже публикуют достаточно подробную информацию о процессоре Exynos 2500, который в ближайшем будущем должен привлечь внимание к продукции компании Samsung, ведь новый ч...

Intel показала, как выглядят 400 млн долларов в виде большой белой «будки». Компания получила свою первую машину ASML High-NA Twinscan EXE EUV Компания Intel первой в мире приобрела у ASML новейшую машину для производства полупроводниковой продукции. Это ASML High-NA Twinscan EXE EUV. И теперь компания показала, как она выглядит.  Как можно видеть, машина уже находится у Intel. Как ранее сообщалось, на е...

Процессоры Lunar Lake MX будут производиться TSMC по техпроцессу N3B Это будут маломощные процессоры для мобильных устройств и ноутбуков

«Полосатый» процессор Intel с 90 ядрами и 656 МБ кеш-памяти. CPU из линейки Granite Rapids-SP засветился в Сети В Сети засветился процессор Intel поколения Granite Rapids-SP, которое будет нацелено на серверный сегмент.  Эти полосатые (чиплеты выполнены в виде полосок) CPU выделяются тем, что будут иметь большое количество ядер. Даже по меркам рынка в целом, не го...

Это первая действительно удачная топовая SoC Exynos за многие годы? Samsung раскрыла технические подробности об Exynos 2400 Однокристальная система Exynos 2400 в тестах, которые уже успели попасть в Сеть, показывает себя очень неплохо, порой опережая даже Snapdragon 8 Gen 3. И Samsung лишь сейчас раскрыла все подробности об этой платформе.  Итак, как мы знали и ранее, Exynos 2400 выдел...

Похоже, AMD снова вернёт себе звание производителя самых мощный iGPU. Тесты графического ядра в процессорах Intel нового поколения на это намекают В конце текущего года Intel выпустит процессоры Arrow Lake, которые заменят Raptor Lake в настольном и мобильном сегментах. И один такой CPU уже засветился в бенчмарке.  Пока ещё безымянный процессор появился в базе SiSoft Sandra. В данном случае есть чуть больше ...

Анонсированы китайские процессоры Zhaoxin KX-7000 – 7-нм, 8 ядер, 3,7 ГГц, 32 МБ кэш-памяти, поддержка DDR5 и USB 4 Китайская компания Zhaoxin представила 8-ядерный процессор KaiXian KX-7000, предназначенный для настольных ПК. Чип доступен в двух версиях, которые отличаются только диапазоном рабочих частот. ОсобенностиZhaoxin KX-7000 производятся по 7-нм техпроцессу и похож на Intel…

TSMC будет производить для MediaTek чипы по техпроцессу 3 нм, а Intel — по техпроцессу 16 нм Компания MediaTek намекнула на то, что SoC Dimensity 9400 будет производиться по нормам 3 нм.  Напрямую свою SoC компания не назвала, но заявила, что активно работает с TSMC над своей первой 3-нанометровой платформой. Согласно всем имеющимся данным, это будет имен...

AMD представила доступные процессоры Epyc 4004 AMD выпустила 8 новых процессоров серии Epyc 4004 для корпоративного использования, имеющих до 16 ядер Zen 4 и до 192 МБ кэш-памяти третьего уровня.

Представлены по-настоящему полностью новые процессоры Intel Meteor Lake. Правда, при обилии нового результаты выглядят немного по-старому Компания Intel наконец-то представила процессоры Meteor Lake. Мы сегодня уже видели часть слайдов с презентации, но теперь раскрыты все карты.  Начнём с основного. Meteor Lake — первые за несколько лет полностью новые процессоры Intel. Новое вообще всё: архи...

Apple и TSMC провели «секретную встречу». Вероятно, Apple снова хочет заполучить эксклюзивный доступ к новому техпроцессу TSMC Компания Apple, похоже, может снова получить эксклюзивный доступ к следующему техпроцессу TSMC. Как сообщается, между главным операционным директором Apple Джеффом Уильямсом и президентом TSMC Си Вейем состоялась «секретная встреча». Предположительно, на вст...

Microsoft закажет Intel выпуск процессоров по технологии 18A Четвёртый клиент на новейших техпроцесс назван.

Microsoft создала 128-ядерный процессор Ожидается, что в чипы первого из них будет встроено до 105 млрд. транзисторов, изготовленных по техпроцессу N5 компании TSMC

Intel пытается переманивать клиентов Samsung. Компания хочет усилить свои позиции, как полупроводникового производителя Компания Intel активно работает над тем, чтобы развиваться, как производитель полупроводниковой продукции для всех желающих. Как сообщает ресурс DigiTimes, в этом своём стремлении Intel переманивает – или, по крайней мере, пытается переманить – южнокорейские...

MediaTek фактически в очередной раз перевыпустила Dimensity 810 из 2021 года, обещая разгром конкурентов. Представлена SoC Dimensity 6300 Компания MediaTek представила однокристальную систему Dimensity 6300 для недорогих смартфонов.  Сам производитель говорит, что новая SoC обеспечивает на 50% большую производительность GPU по сравнению с альтернативными платформами конкурентов. При этом эти самые к...

Архитектура BlackHawk, новейшее ядро X5 и скорость выше, чем у Apple A17 Pro. MediaTek Dimensity 9400 станет самым производительным чипом для смартфонов в этом году Предстоящий флагманский чип MediaTek Dimensity 9400 будет использовать новейшую архитектуру процессора Arm под кодовым названием BlackHawk и будет оснащен сверхбольшим ядром X5, о чем сообщил Digital Chat Station. Сообщается, что количество инструкций на такт (IPC, inst...

Сможет ли AMD повторить свой прорыв, который совершила в настольном сегменте с переходом на чиплеты? Мобильные APU компании тоже станут такими Возможно, следующие мобильные процессоры AMD перейдут на чиплетную компоновку, как это реализовано в настольных Ryzen уже много лет.  В Сети появились новые подробности о линейках Strix, Sarlak и Kracken, и для всех указано наличие кристалла ввода-вывода, причём у...

Теперь и у Intel есть ускоритель быстрее Nvidia H100. Компания представила Gaudi 3 Компания Intel представила новое поколение своих ускорителей для ИИ — Gaudi 3. Если точнее, это целая линейка ускорителей, в которую входит сразу несколько моделей.  Gaudi 3 — чиплетный процессор. Для подобного класса продуктов это уже стало нормой. В ...

Samsung представил план внедрения 3D DRAM и многослойной памяти Согласно планам Samsung, уже через 2 поколения техпроцессов компания начнет выпуск 3D DRAM на основе вертикальных транзисторов FinFET.

Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса Samsung Foundry активно тестирует второе поколение 3-нанометрового техпроцесса GAA (Gate-All-Around)

Теоретическая и реальная производительность Intel AMX AMX (Advanced Matrix Extension) - это модуль аппаратного ускорения умножения матриц, который появился в серверных процессорах Intel Xeon Scalable, начиная с 4 поколения (архитектура Sapphire Rapids). В начале этого года ко мне в руки наконец попал сервер, с данным типом...

Руководитель отдела разработки Intel подтвердил, ядра Raptor и Meteor Lake архитектурно очень похожи Преимущество достигается за счёт эффективности техпроцесса Intel 4.

Получается, что Exynos 2400 лучше Snapdragon 8 Gen 3? Сравнение Samsung Galaxy S24 Plus и S24 Ultra говорит именно об этом Смартфоны линейки Galaxy S24, как известно, основаны как на SoC Exynos 2400, так и на Snapdragon 8 Gen 3. И, похоже, первая действительно может порой быть лучше второй. Как минимум, на это указывает свежий тест.  Авторы портала Beartai протестировали Galaxy S...

Техпроцесс Intel 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A Процессорный узел Intel нового поколения 14A обеспечивает на 15% более высокую производительность на ватт по сравнению с 18A, 14A-E добавляет еще 5% прироста

Intel готовится к выпуску чипов по техпроцессу 14А на основе литографии нового поколения High-NA EUV Сборка революционной системы позволит Intel вернуть лидерство в производстве чипов.

NVIDIA планирует начать производство графических процессоров R100 «Rubin» в 4 квартале 2025 года Графические процессоры R100 будут использовать память HBM4 и 3-нм техпроцесс TSMC.

Ещё раз Raptor Lake под новым соусом и действительно новые Atom. Intel представила встраиваемые процессоры разных классов Компания Intel сегодня представила не только настольные Core Ultra, которые не ориентированы на розничные продажи, но также и другие новые процессоры, которые компания относит к сегменту Edge.  Первыми стали Raptor Lake-PS. Это настольные Raptor Lake Refresh, но п...

Samsung и AMD заключили сделку: Процессор для Steam Deck может быть произведен Samsung AMD, один из крупнейших в мире брендов по производству видеокарт и процессоров, вносит существенные изменения в свой производственный план. Согласно заявлениям, некоторые из новых продуктов компании будут производиться по 4-нм техпроцессу Samsung.

Huawei обойдётся без Qualcomm, но не предложит ничего нового? Для смартфонов P70 готовится SoC Kirin 9010 Компания Huawei готовит флагманские смартфоны линейки P70, и они могут получить новую однокристальную систему Kirin.  Инсайдер Smart Pikachu говорит о том, что Huawei тестирует SoC Kirin 9010 для своих новых флагманов. При этом пока нет данных о том, что это за пл...

Samsung обкатает второе поколение 3-нм техпроцесса на чипе для умных часов И предложит его компании Qualcomm.

Samsung хочет заполучить контракты Nvidia. Внутри компании для этого есть целая стратегия под названием Nemo Компания Samsung наращивает ставки, чтобы стать более сильным конкурентом для TSCM. Как сообщается, внутри компании есть целая стратегия под названием Nemo цель которой — заполучить заказы от Nvidia.  Речь в данном случае о техпроцессе 3 нм (GAA), который бу...

Процессоры Intel Arrow Lake ещё не представили, а китайцы уже продают такие, причём всего за 14 долларов. Поставщик Xianyu раздобыл старые инженерные образцы Китайский поставщик Xianyu неожиданно начал продавать неаносированные процессоры Intel Arrow Lake. Причём буквально за копейки.  Предположительно, это старые инженерные образцы, которые должны были быть утилизированы. Как они достались Xianyu, неясно, но особого зн...

Huawei представила уникальный ноутбук Qingyun L540 Сегодня китайская компания Huawei официально представила свои новые ноутбуки под названием Qingyun L540 для китайского внутреннего рынка, а главная их особенность в том, что они оснащены 5-нм чипом Kirin 9006C. Ноутбуки Huawei Qingyun L540 являются продолжением серии L410, к...

А тут Intel ответить будет нечем. Настольный Ryzen 7 8700G с iGPU Radeon 780M впервые засветился в тестах Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года В Сети впервые засветился процессор AMD Ryzen 7 8700G. Это настольный APU на архитектуре Zen 4, который правильнее было...

Какими будут процессоры AMD на архитектуре Zen 5: характеристики, производительность и сроки выхода AMD готовится к запуску процессоров на базе архитектуры Zen 5. Линейку переведут на новый, более современный техпроцесс производств и за счет этого выжмут из нее большую производительность, чем у семейства Zen 4. Официально дата релиза еще не названа, но ожидается, что компа...

Процессоры Ryzen 8000G, которые на самом деле технически будут моделями Ryzen 7000G, выйдут в конце января Компания Gigabyte подтвердила, что гибридные процессоры Ryzen нового поколения для AM5 будут представлены в конце января 2024 года.  Сама компания не называет модели, но речь идёт либо о Ryzen 7000G, либо о Ryzen 8000G. Напомним, последние утечки указывали на то, ...

AMD готовится представить чипы MI350 по 4-нм техпроцессу для ИИ Помимо чипов MI350, AMD активно развивает ПО ROCm для ИИ, которое будет выпущено к 2025 году.

4 нм, 8 ядер, графика AMD и поддержка до 200 Мп. Спустя две недели после премьеры Galaxy A55 Samsung наконец-то представила платформу Exynos 1480 Смартфон Samsung Galaxy A55 дебютировал 11 марта, но тогда компания «забыла» рассказать о его однокристальной системе. Недоразумение исправлено сейчас: Exynos 1480, на которой и построен Galaxy A55, полностью рассекречена. CPU системы представлен четырьмя я...

MediaTek Dimensity 9400 продолжит радикальный подход к дизайну, используя 3-нм техпроцесс Особенностью Dimensity 9300 стали четыре сверхбыстрых ядра Cortex-X4 и еще четыре больших ядра Cortex-A720, поэтому от Dimensity 9400 стоит ожидать ещё большой производительности.

У Китая есть два самых мощных суперкомпьютера в мире, но о них нельзя узнать из рейтинга Top500. Появились подробности о Tianhe Xingyi Китайский суперкомпьютер Tianhe Xingyi, который также называют Tianhe-3, похоже, действительно является самым производительным в мире.  создано DALL-E Согласно свежим данным, производительность этой системы составляет 1,57 ExaFLOPS при пиковом показателе в 2 ExaFL...

Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel создала новую бизнес-единицу. Она называется Intel Foundry, и сама компания говорит, что это первый в мире производитель систем для эпохи искусственного интеллекта.  Из пресс-релиза не очень понятно, но, похоже, Intel Foundry — новое название ...

Intel Core Ultra: новая эра эффективности, искусственного интеллекта и графики Это первые плиточные процессоры компании, изготовленные по новому 4-нм техпроцессу Intel. По сути, это принципиально новая архитектура, не похожая ни на что из предыдущих разработок.

Новые процессоры Intel получат максимум четыре больших ядра без Hyper-Threading и четыре малых, которые к тому же будут ограничены. Появились новые данные о Lunar Lake Несмотря на то, что до выхода процессоров Intel Lunar Lake ещё довольно далеко, информации о них в Сети всё больше. Новые данные раскрыли максимальную конфигурацию, которая будет возможна в данной линейке.  Итак, топовый процессор Lunar Lake получит четыре больших...

Флагманская серия Pura 70 от Huawei оснащена новым 12-ядерным процессором Kirin 9010 Разборка устройства показала, что Huawei использует 7-нм техпроцесс SMIC для производства своих последних SoC.

Названы предполагаемые конфигурации будущих мобильных процессоров AMD с ядрами Zen5 и Zen5C Ожидается до 12 ядер в монолитных конструкциях.

Apple снова обойдёт всех на год? iPhone 17 Pro первыми на рынке получат 2-нанометровые чипы производства TSMC Смартфоны iPhone 15 вышли на рынок уже более полугода назад, SoC Apple M3 на рынке примерно столько же, и до сих пор никто из конкурентов не выпустил процессоры или SoC на основе 3-нанометровых норм. Похоже, в случае с техпроцессом 2 нм компании TSMC будет примерно то ж...

Стало известно, когда Core i окончательно уступят место Core Ultra. Процессоры Intel Arrow Lake-S ожидаются в третьем квартале Компания MSI фактически подтвердила примерную дату выхода процессоров Intel Arrow Lake для настольных ПК. Они появятся в третьем квартале.  Информация об этом была раскрыта известным оверклокером Toppc из команды MSI. Каких-то особых подробностей, конечно, не было...

Процессоры Intel нового поколения Lunar Lake-V Core Ultra 200V будут иметь 8 ядер CPU, 8 ядер GPU Они будут основаны на матрице, включающей 4 ядра P, основанных на архитектуре ядра Lion Cove, и 4 ядра LP-E, основанных на архитектуре ядра Skymont

Китайская SMIC бросает вызов: производство по 3-нм техпроцессу, несмотря на санкции США Как сообщается, китайский чип-гигант SMIC готовится раскрыть мощь 3-нм техпроцесса, несмотря на санкции США. Страна лишила ее доступа к высококлассному оборудованию для производства микросхем, однако SMIC использует все свои силы, уже покорив 2-е поколение 7-нм техпроцесса д...

Такими темпами китайские CPU скоро обгонят Intel Core. Loongson обещает, что её новые процессоры 3B6600 и 3B7000 смогут потягаться с Core 12-го поколения Компания Loongson заявляет, что её процессоры нового поколения смогут тягаться с CPU Intel Core 12-го поколения.  Речь о недавно представленных процессорах 3B6600 и 3B7000, которые, несмотря на разные названия, относятся к одной линейке и являются преемниками лине...

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

MediaTek работает с TSMC над мобильным процессором Dimensity 9400 Две компании сотрудничают при работе над чипом на техпроцессе 3 нм

Microsoft теперь имеет собственные процессоры. Компания представила чипы Azure Maia 100 AI Accelerator и Azure Cobalt 100 Компания Microsoft в последнее время активно интересуется разработкой собственных чипов или полузаказаных решений, разработанных совместно с другими компаниями. И сегодня Microsoft представила первые собственные чипы: Azure Maia 100 AI Accelerator и Azure Cobalt 100.&nb...

OnePlus 12 получит самый яркий экран Известный информатор Revegnus поделился подробностями об экранах в смартфонах серии Samsung Galaxy S24 и OnePlus 12. Итак, все модели Samsung Galaxy S24 оснастят OLED-дисплеями, выполненными по техпроцессу LTPO, максимальная яркостью которых составит 2500 кд/кв.м. У предыдущ...

У китайцев теперь есть своя оперативная память LPDDR5-6400. Её начала производить CXMT У Китая теперь есть и собственная современная оперативная память. Компания CXMT первой из Поднебесной начала выпуск памяти LPDDR5-6400.  Фото: CXMT Компания предлагает чипы объёмом 6 либо 12 ГБ, что немного нетипично. Также CXMT сообщает, что компании Xiaomi ...

Apple работает над новым поколением процессоров M4 с функциями ИИ Компания Apple ускоряет разработку своих новых процессоров следующего поколения M4, которые будут обладать продвинутыми возможностями искусственного интеллекта.

Samsung объявляет о сотрудничестве с Arm для разработки ядер Cortex-X следующего поколения Компания Samsung объявила, что будущие ядра процессоров Arm Cortex-X будут использовать 2-нм узел GAAFET от Samsung Foundry.

4 ГГц в смартфоне — реальность? Snapdragon 8 Gen 4 приписывают именно такую частоту для больших ядер Однокристальная система Snapdragon 8 Gen 4, возможно, будет работать на очень высокой для мобильной SoC частоте.    Ядрам Phoenix свежие слухи приписывают частоту в 4 ГГц! Для сравнения, у Snapdragon 8 Gen 3 максимальная частота для ядер — 3,3 ГГц,...

Samsung Electronics объявила о сотрудничестве с компанией Arm Процессор будет разработан на базе 2-нм техпроцесса SF2 GAAFET компании Samsung Foundry

Так ли хорош процессор Snapdragon X в сравнении с другими После многих лет борьбы за позиции в сфере ПК компания Qualcomm наконец-то представила платформу, которая должна составить достойную конкуренцию. Платформа Snapdragon X построена на собственной архитектуре процессоров Oryon, которая, как утверждает компания, достаточно мощн...

Это действительно одна целая микросхема, но размером с iPad и с 4 трлн транзисторов. Представлена Cerebras WSE-3 Компания Cerebras представила третье поколение своей чудовищной микросхемы Wafer Scale Engine (WSE). Её размеры не стали больше, так как расти уже попросту некуда, но характеристики сильно улучшились.  Напомним, для создания микросхемы используется вся 300-миллиме...

Вот так работают санкции США. Для своего нового смартфона Enjoy 70 компания Huawei вынуждена использовать платформу родом из 2018 года Пока в Сети рассуждают, получат ли новые флагманские смартфоны Huawei 5-нанометровую платформу, созданную на мощностях SMIC, компания готовит смартфон Enjoy 70 с 14-нанометровой SoC в основе.  Аппарат получит платформу Kirin 710A родом из 2020 года, а фактически и...

Насколько действительно хороши процессоры Google. Тестирование в разных режимах Максимальная пиковая производительность процессора — важнейший параметр, который необходимо учитывать при сравнении различных моделей. Конечно, если для вас важен этот параметр, а не общее впечатление от устройства. Однако это не единственное число, которое имеет знач...

Новые CPU AMD сохранят сокет AM5, а вот у Intel снова будет замена. Настольные процессоры нового поколения стоит ожидать в третьем квартале 2024 года Так AMD поддерживает старые сокеты. Компания выпустила новый процессор Ryzen 7 5700 и готовится выпустить ещё несколько Компания Intel представила процессоры Meteor Lake, которые полностью новые, но, во-первых, доступны только в ноутбуках, а во-вторых, глобально мало н...

Lenovo представила игровые ПК LEGION с процессорами Intel Core 14-го поколения Покупатели компьютеров 2024 модельного года могут приобрести различные конфигурации из процессоров и видеокарт Legion RTX 40 и RX 7600 по цене от 910 долларов

Huawei раскрыла характеристики процессора Kirin 9010 Изначально компания Huawei предоставила крайне мало информации о мобильном процессоре Kirin 9010, но когда состоялся релиз серии смартфонов Pura 70, все детали попали в сеть благодаря бенчмаркам. На текущий момент можно уверенно заявить, что по сравнению с Kirin 9000S, котор...

iPhone 16 получит тот же процессор, что и iPhone 16 Pro В этом году компания Apple официально представила свой новейший чип A17 Pro с техпроцессом 3 нм для iPhone 15 Pro и iPhone 15 Pro Max, что можно назвать настоящим прорывом для рынка смартфонов. Базовые модели iPhone 15 и iPhone 15 Plus получили более старый чип A16 Bionic, н...

Huawei и SMIC могут выпустить чипы по техпроцессу 5 нм, но это будет очень дорого Компания Huawei вместе со SMIC уже удивили всех своей 7-нанометровой SoC Kirin 9000s, но, как уже говорилось, они могут пойти дальше и выпустить платформу по техпроцессу 5 нм. Правда, согласно свежим данным, это будет очень дорого.  фото: Bloomberg Ресурс DigiTime...

Представлен процессор Intel Core i9-14900KS – 6,2 ГГц из коробки Intel выпустила процессор Core i9-14900KS для настольных ПК. Новый чип основан Core i9-14900K и предлагает конфигурацию ядер 8P+16E. ОсобенностиПо сравнению с предыдущей моделью, Intel увеличила тактовую частоту как P-ядер, так и E-ядер. P-ядра теперь разгоняются до…

Настольные Ryzen 7000G и новое поколение Ryzen 8000U впервые засветилось в Сети В Сети впервые засветились настольные процессоры Ryzen 7000G и мобильные APU нового поколения Ryzen 8000U.  Если говорить о первых, то пока засветились три модели: Ryzen 5 Pro 7500G, Ryzen 5 7500G и Ryzen 3 7300G. Первые два должны быть идентичны и, вероятно, буду...

Тут Huawei против санкций бессильна. Большое ядро SoC Kirin 9010 по производительности равно ядру Snapdragon 870, но потребляет на 50% больше Похоже, производительность SoC Kirin 9010 не сможет порадовать на фоне современных конкурирующих решений. Первые тесты говорят о том, что Huawei не смогла сделать свои ядра CPU современными.  Показатели Kirin 9010 в AndSPECmod говорят о том, что большие ядра этой ...

Процессор в iPhone 17 Pro должен получить большой прирост производительности и автономности Apple готовит чип на техпроцессе 2 нм

В России рассчитывают освоить техпроцесс 14 нм к 2030 году. Сейчас освоен лишь 130-нанометровый Собственное полупроводниковое производство по техпроцессу 28 нм в России может появиться в 2027 году. На это, как сообщает «Коммерсантъ», рассчитывает Минпромторг.  создано DALL-E в Bing  Расчёты ведомства появились не на пустом месте. Они опирают...

AMD представила два новый мобильных процессора для тонких и лёгких ноутбуков Обе модели обладают гибридной архитектурой core и включают в себя комбинацию ядер Zen 4 и Zen 4c.

РФ станет третьей страной в мире, выпускающей литографы для производства чипов по 130-нм техпроцессу Национальная полупроводниковая программа выглядит достаточно амбициозно, но эксперты высказывают сомнения относительно сроков её реализации

SMIC работает над 3-нм техпроцессом, несмотря на санкции США Согласно инсайдерским данным издания Nikkei, китайский производитель микросхем SMIC разрабатывает 3-нм техпроцесс, несмотря на ключевые трудности с оборудованием, вызванные санкциями США. Производителю микросхем закрыт доступ к передовому оборудованию для производства ч...

NVIDIA представила новую видеокарту RTX 5880 ADA NVIDIA на официальном сайте представила свой новейший графический процессор для рабочих станций под названием RTX 5880 ADA. В сравнении с предшественником, RTX 6000 ADA, этот GPU обладает на 22% меньшим количеством ядер CUDA.

NVIDIA представила видеокарту RTX 2000 Ada NVIDIA представила свою совершенно новую профессиональную видеокарту поколения Ada для профессионалов — новинка, как и сообщали инсайдеры несколько дней назад, получила название RTX 2000 Ada, и она ориентирована на сегмент доступных решений. Видеокарта NVIDIA RTX 2000 Ada ос...

В конце 2024 года Intel выпустит процессоры, у которых будет максимум восемь ядер и не будет Hyper Threading. Появились данные о CPU Lunar Lake В Сеть попало много новых данных о грядущих процессорах Intel Lunar Lake, которые выйдут в конце текущего года.  В частности, утечка говорит о том, что ядра Lunar Lake не поддерживают Hyper-Threading. Напомним, в конфигурацию таких процессоров будут входить и боль...

Такие процессоры Intel выйдут лишь примерно через год. В Сети засветился CPU Arrow Lake-H с шестью большими ядрами Пока на рынок не вышли даже процессоры Intel Meteor Lake, в Сети засветился CPU линейки Arrow Lake, которая ожидается в следующем году.  Речь о мобильном процессоре Arrow Lake-H. И он имеет шесть больших и восемь малых ядер. Мы пока не знаем всех подробностей о ко...

Упаковка Google Pixel 8 подтвердила дизайн и характеристики смартфона На сайте Mydrivers опубликовали реальные фотографии упаковочной коробки смартфона Google Pixel 8. На верхней части коробки видно, что хотя расположение камер Pixel 8 такое же, как у Pixel 7, сами модули явно больше, чем у Pixel 7. Ожидается, что смартфон получит светочу...

16-дюймовый ноутбук Hasee Ares T8 получил i9-14900HX и RTX 4070 Hasee анонсировала новую конфигурацию своего игрового ноутбука Ares T8 (T8D94). Новая конфигурация оснащена процессором Intel Core i9−14900HX 14-го поколения и видеокартой RTX 4070. Остальные спецификации остались такими же, как и у предыдущего поколения.

Новая амбициозная цель Intel: поставить 100 млн процессоров для ПК с искусственным интеллектом к концу следующего года Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Об искусственном интеллекте всё чаще говорят в том числе производители процессоров. Так Intel заявила, что установила себе амбициозную цель: к 2025 году поставить на рынок ...

AMD изменила упаковку процессора Athlon 3000G для настольных ПК Интересно, что на этот шаг компания пошла в отношении модели, выпускающейся уже четыре года по 14-нм техпроцессу

Samsung Exynos 2400 производят по передовому техпроцессу и он мощнее Apple A17 Pro Некоторое время назад разработчики мобильного процессора Samsung Exynos 2400 заявили, что графический процессор данного чипа уверенно превосходит конкурентов. Хотя, безусловно, никаких реальных тестов или отзывов о данном процессоре пока что нет, а верить маркетинговым заявл...

Один из самых мощных ноутбуков 2024 засветился в Сети. У Asus ROG Zephyrus G16 2024 процессор Core Ultra 9 и GeForce RTX 4090 Mobile Официальная премьера процессоров Intel Core Ultra семейства Meteor Lake состоится уже скоро – 15 декабря, поэтому в интернет-магазинах начинают появляться объявления с описанием новых моделей ноутбуков на базе новых же CPU. Так, один из ретейлеров раскрыл характер...

Dimensity 9400 превзойдёт Snapdragon 8 Gen 4 «во всех аспектах». Первые подробности о новой топовой SoC MediaTek от надежного источника Несмотря на то, что до выхода однокристальной системы MediaTek Dimensity 9400 ещё около года, уже есть кое-какие подробности о ней. Новыми данными поделился инсайдер, известный под ником Digital Chat Station. По его словам, Dimensity 9400 не получит четыре суперядра Ar...

Intel представила новый план производства с техпроцессом 14A на передовой технологии High-NA EUV Intel уже получила новейшее испытательное оборудование ASML.

Nothing Phone (2a) получит уникальную SoC, которой больше нет ни у одного смартфона Смартфону Nothing Phone (2a) различные источники приписывали SoC Dimensity 7200 Ultra. Вчера глава компании заявил, что это неверно, а теперь сама Nothing раскрыла карты.  Да, в основе новинки действительно лежит не Dimensity 7200 Ultra, но и слухи были почти верн...

60-ядерный Intel, который сможет купить каждый желающий. В Сети засветился процессор Xeon W9-3595X класса HEDT В Сети засветился процессор Intel Xeon W9-3595X, который относится к категории HEDT.   Этот CPU является прямым родственником новых моделей Xeon Scalable. В его конфигурацию входит 60 ядер и 112 МБ кеш-памяти третьего уровня, а частота достигает 4,6 ГГц.  Нов...

Новые процессоры MediaTek будут иметь графику NVIDIA Согласно последним новостям, компания NVIDIA лицензировала свои графические процессорные ядра (GPU IP) фирме MediaTek для использования в следующем поколении автомобильных процессоров

Расследование: Intel изменила параметры одного из процессоров Core Ultra после анонса. У Core Ultra 5 135H стало больше ядер в iGPU Компания MSI на выставке CES 2024 представила свою портативную игровую консоль Claw, которая первой в мире получила процессоры Core Ultra. Оказалось, что для одного из таких CPU Intel изменила параметры уже после анонса.  слайд с презентации описание MSI Claw на ...

Первый в мире ноутбук с процессором Core Ultra 9 и массой до 1 кг. Представлен новый Huawei MateBook X Pro Huawei сегодня представила в Китае новое поколение ноутбука MateBook X Pro, и эта модель может впечатлить. Масса аппарата составляет всего 980 граммов, но при этом тут довольно большой экран OLED с диагональю 14,2 дюйма и процессор Core Ultra 9 с 32 ГБ оперативной памят...

1 нм всё ближе. Литографические машины ASML с высокой числовой апертурой будут представлены не позднее 2027 года Ли У Гён, президент ASML Korea, сообщил на пресс-конференции, что совместный центр исследований и разработок Samsung Electronics и ASML представит машины для литографии с высокой числовой апертурой не позднее 2027 года. Samsung Electronics и ASML из Нидерландов в прошло...

Вопреки всем санкциям США и в расчёте на субсидии Китая. SMIC уже собирает команду для освоения техпроцесса 3 нм Китайская компания SMIC, как сообщается, уже набирает команду специалистов для освоения техпроцесса 3 нм.  фото: Bloomberg Никаких технических подробностей пока нет, да и ожидать появления таких чипов в ближайшие год-два не стоит. Но DigiTimes говорит, что SMIC оч...

Новейший Xiaomi Redmi Note 13 4G может получить платформу с корнями из 2019 года Смартфоны Xiaomi линейки Redmi Note 13 уже успели наделать шума в Китае и готовятся к выходу на глобальный рынок. В том числе нас ждёт модель Note 13 4G, которая, к сожалению, не будет производительнее предшественника.  Согласно свежим данным, Note 13 4G может пол...

Такая Radeon RX 8900 XTX могла бы одолеть даже GeForce RTX 5090? Появились параметры отметённого графического ядра AMD для топовой видеокарты Согласно многочисленным данным, линейка видеокарт Radeon RX 8000 обойдётся без настоящего флагмана и полноценной замены RX 7900 XT/XTX. Но теперь в Сети появились параметры того самого GPU Navi 4x, который послужил бы основой для RX 8900 XT/XTX.   Итак, этот графи...

Intel хочет производить чипы для всех желающих, но свой собственный ускоритель Gaudi3 будет производить на мощностях TSMC Компания Intel на днях показала свой ускоритель для ИИ Gaudi3, но особо не поделилась подробностями. Теперь стало известно, что производить этот чип будет не Intel.  Несмотря на то, что компания уже выпускает продукцию по нормам Intel 4, Gaudi3 будет производить T...

Apple работает над новым чипом для своих устройств, использующим 3-нм техпроцесс TSMC Новый процессор позволит использовать технологии искусственного интеллекта непосредственно на самом устройстве без необходимости прибегать к облачным сервисам

AMD тоже начнёт активно использовать малые ядра в своих процессорах. Появились подробности об APU Strix Point, Kraken Point и Sonoma Valley Компания AMD готовит в этом году сразу несколько линеек новых процессоров на основе архитектуры Zen 5. Такие решения появятся как в мобильном, так и в настольном сегментах. И сегодня появились подробности о конфигурации ядер таких APU для ноутбуков.  Итак, линейка...

Полностью раскрыты дизайн и характеристики Tecno Spark Go 2024 в консоли Google Play Конфигурация процессора смартфона включает 2 ядра ARM Cortex-A75 с тактовой частотой 1600 МГц и 6 ядер ARM Cortex-A55, также работающие на частоте 1600 МГц.

AMD начинает добавлять на сайт информацию о ядрах Zen4c в процессорах с гибридной конфигурацией На страницах с подробными спецификациями уже можно найти информации о количестве ядер Zen4 и Zen4c.

В России вышли новые ноутбуки Tecno Megabook T1 в двух размерах на процессорах AMD Ryzen 7 и Intel Core i5-12450H Tecno представила новые подели ноутбуков Tecno Megabook T1 с улучшенными процессорами. Доступны три модели: Megabook T1 с диагональю 15,6” на процессоре AMD Ryzen 7, а также Megabook T1 15,6” и Megabook T1 14,1” на процессоре Intel 12-ого поколения. Но...

iPhone 17 Pro станет первым в мире смартфоном на базе 2-нм процессора от TSMC По свежим данным, следующий флагман Apple – iPhone 17 Pro – станет первым в мире смартфоном, оснащенным передовым процессором, изготовленным по 2-нанометровому техпроцессу от TSMC.

Первый результат тестирования 144-ядерного процессора Intel пока хуже, чем у Snapdragon 8 Gen 3. В тесте засветился CPU Sierra Forest Компания Intel готовит не только 64-ядерные процессоры Emerald Rapids, но и 144-ядерные и даже 288-ядерные Sierra Forest. И такой процессор впервые засветился в тесте.  В Geekbench появился результат 144-ядерного CPU, имя которого не указано. Если точнее, тест про...

NVIDIA представила процессор нового поколения Blackwell B200 для технологий ИИ Американская компания NVIDIA представила процессор Blackwell, который предназначен для работы технологий искусственного интеллекта и обладает повышенной производительностью.

Продемонстрирован Intel Meteor Lake по процессу Intel 20A Новый потребительский процессор Intel Meteor Lake, изготавливаемый по техпроцессу Intel 20A, внезапно появился в докладе Пэт Гелсингера. Так, во время его речи показан процессор в неплохом состоянии с возможностью запуска Windows и исполнением задач искусственного интел...

SoC Apple M3 Pro имеет меньше больших ядер, меньше ядер GPU и ниже пропускную способность памяти, чем M2 Pro Компания Apple прошедшей ночью представила новые платформы M3 и ПК на их основе. SoC M3 и M3 Pro не особо изменились в сравнении с предшественницами, а теперь оказалось, что M3 Pro кое в чём стала даже хуже.  Напомним, в конфигурацию этой платформы входит 12-ядерн...

Процессоры Intel Arrow Lake впервые замечены в грузовых накладных с конфигурацией 6+8 ядер Видимо, Intel начала поставлять новые процессоры по всему миру на год раньше, чем ожидалось.

Вышел процессор Snapdragon 8 Gen 3 для Android-флагманов 2024 года. Он рвет AnTuTu и тянет игры в 240 fps 24 октября на Гавайских островах в США стартовала ежегодная конференция Snapdragon Summit, где американская компания Qualcomm презентовала свои новые продукты. Для пользователей Android главной звездой мероприятия стал процессор Snapdragon 8 Gen 3. Он будет основой большинс...

Vivo представила уже третий смартфон V30 Lite Сегодня был официально анонсирован новый смартфон Vivo V30 Lite, который интересен не только своими характеристиками, но и подходом производителя к формату названия своих новинок. Дело в том, что данный гаджет был представлен в Камбодже и это уже третий смартфон с одним и те...

Samsung объявила о сделке по производству 2-нм чипов искусственного интеллекта с памятью HBM3 Этот контракт является большим достижением для производственного подразделения Samsung и свидетельствует о том, что конкурентная борьба за техпроцессы следующего поколения идет полным ходом.

Полноценные восемь ядер Ryzen 7 и неплохой iGPU в мини-ПК всего за 190 долларов. Представлен Minisforum UM480XT Компания Minisforum выпустила, видимо, один из самых доступных мини-ПК, основанных на достаточно производительном процессоре, а не на «атомных» CPU Intel.  Мини-ПК UM480XT при цене менее 200 долларов основан на Ryzen 7 4800H. Да, это уже далеко не новы...

Порой не лучше старых Core и хуже старых Ryzen. Тесты новых процессоров Intel Meteor Lake показывают, что это хорошие CPU лишь сами по себе Intel сегодня представила процессоры Meteor Lake, и уже сейчас разные тематические ресурсы опубликовали свои обзоры.  Пока есть материалы только о ноутбуках на основе Core Ultra 7 155H. Напомним, он имеет 16 ядер, включая шесть больших, и характеризуется TDP 28 Вт...

Мобильные процессоры AMD Ryzen в новом поколении значительно усилятся по всем фронтам. Ресурс HKEPC опубликовал документ с параметрами APU Strix Point и Strix Halo Чем на это будет отвечать Intel? В Сети засветились 55-ваттный мобильный APU AMD Strix Halo с огромным iGPU и 12-ядерный Strix Point Ресурс HKEPC раздобыл 144-страничный документ, описывающий спецификации некоторых будущих процессоров AMD. В частности, там есть парамет...

Представлены процессоры поколения Intel Core Ultra 100 с мощными iGPU для ноутбуков Новые чипы позволяют существенно увеличить автономность лэптопов и обладают отличной игровой производительностью.

IBM представила концептуальный нанолистовой транзистор, который может помочь в развитии технологий Транзистор нового образца решит несколько проблем, препятствующих дальнейшему сокращению техпроцесса

Для своих новых смартфонов Huawei выбрала древнюю SoC Kirin и Snapdragon 680. Опубликованы постеры с Enjoy 70 и Enjoy 70 Pro Компания Huawei опубликовала постеры со смартфонами Enjoy 70 и Enjoy 70 Pro, которые будут представлены уже 5 декабря.  Несмотря на отношение к одной серии, новинки непохожи внешне. Младшая модель больше напоминает флагманские Huawei P, а старшая похожа на линейк...

Colorful представила ноутбуки EVOL G с процессорами Intel Core 13/12-го поколения и графикой NVIDIA Доступно несколько конфигураций с 10-ядерными процессорами и видеокартами NVIDIA RTX начального-среднего уровня.

384-ядерный китайский процессор в 2,5 раза быстрее самого мощного серверного CPU AMD. Раскрыты параметры Sunway SW26010 Pro Китай довольно активно развивает собственные процессоры, причём для разных сегментов. Sunway SW26010 Pro, вероятно, один из самых впечатляющих.  создано DALL-E Это серверный процессор, который является старшим братом для CPU Sunway SW26010, вышедшего ещё в 2016 го...

Intel, а где прирост от новых архитектур? Core Ultra 9 185H оказался медленнее, чем Core i9-13900H при одинаковом количестве ядер Вчера мы ознакомились с первыми тестами Core Ultra 7 155H, которые на первый взгляд не впечатляют. Сегодня в Сети появились первые результаты тестов флагманского Core Ultra 9 185H.  Этот CPU имеет максимальное для Meteor Lake количество ядер: шесть больших, восемь...

Это 144-ядерный процессор Intel, только все ядра у него малые. В бенчмарке засветился Xeon 6E линейки Sierra Forest Процессор Intel Xeon 6E линейки Sierra Forest со 144 ядрами засветился в бенчмарке.   Если точнее, в базе Geekbench появилась запись о системе с двумя такими CPU, то есть с 288 ядрами в сумме. Речь о платформе Beechnut City, для которой процессоры Sierra Forest в ...

6,2 ГГц, 24 ядра – дорого. Флагманский процессор Intel Core i9-14900KS поступит в продажу в середине марта Ресурс Benchlife со ссылкой на собственные источники сообщил о том, что флагманский процессор Intel Core i9-14900KS поступит в продажу примерно через месяц – в середине марта. Изображение: WCCF По конфигурации Core i9-14900KS не отличается от Core i9-14900K или C...

Одно из самых бессмысленных смен поколений процессоров, и на сей раз у AMD. Представлены мобильные Ryzen 8000U/H/HS Компания AMD сегодня в рамках мероприятия Advance AI представит новые мобильные процессоры Ryzen 8000. Материалы с презентации уже попали в Сеть, так что новинки для нас полностью раскрыты.  Новое поколение называется Hawk Point и, к сожалению, оно почти ничем не ...

Китайская компания Loongson переходит на 7 нм техпроцесс вместо 12 нм. Кто будет производить эти процессоры? О процессорах, разработанных китайской компанией Loongson, с собственной архитектурой LoongArch, мы уже писали, причем не один раз. Работа над этими процессорами не прекращается, поскольку китайцам нужны собственные чипы, которые не зависят от американских технологий. Сейч...

Ноутбуки Acer Swift засветились с новыми процессорами Intel Новые ноутбуки компании Acer, оснащённые процессорами Intel Meteor Lake, уже сегодня появились на сайте немецких розничных продавцов. Стоит напомнить, что Acer является последний производитель ноутбуков, чьи будущие модели с процессорами Intel Meteor Lake были представлены п...

Новые GPU NVIDIA для видеокарт RTX будут производиться по 3-нм техпроцессу TSMC Вероятно, это позволит существенно нарастить частоты при том же уровне энергопотребления

Google может перейти на чипсеты TSMC для Pixel 10 Телефоны Google Pixel могут претерпеть значительные изменения в 2025 году, поскольку, по некоторым данным, компания планирует перейти с Samsung Foundry на TSMC для своих процессоров Tensor. Инсайдер Revegnus заявил, что TSMC будет производить чипсеты для серии Pixel 10 и пос...

10нм техпроцесс и 6 ГГц: Intel ставит новые рекорды производительности чипов. Что нового? На Хабре не так редко публикуются новости и статьи о разгоне «железа». В частности, профессиональные оверклокеры используют специализированные оборудование, жидкий азот и другие ухищрения, чтобы добиться от процессоров небывалой производительности. Компания Intel ставит р...

Новый GPU Nvidia поколения Blackwell настолько чудовищный, что для обучения модели ИИ с 1,8 трлн параметров нужно всего 2000 ускорителей Компания Nvidia вчера представила гигантский GPU Blackwell и решения на его основе. Пока есть не все данные, хотя сегодня часть пробелов мы заполнили. Теперь же пришёл черёд поговорить о прямом применении новых ускорителей — обучении ИИ.  Несмотря на то, что...

Анонсирован чип AmpereOne-3: 256 ядер, 3-нм процесс TSMC, поддержка PCIe 6.0, 12-канальная DDR5 Новый процессор AmpereOne-2 от Ampere Computing будет иметь до 192 ядер, а AmpereOne-3 — 256 ядер, поддерживать PCIe 6.0 и многое другое.

В E-ядрах процессоров Intel нашли уязвимость — ее исправление снизит производительность на 10% Энергоэффективные ядра используются практически во всех CPU Intel последних поколений

Google представила процессор Axion для ЦОД Сегодня компания Google официально объявила о релизе своего первого процессора на базе архитектуры Arm для центров обработки данных. Вероятно, в каком-то смысле эти новые чипы с Arm-архитектурой являются неким ответом на процессоры, используемые Amazon для своих огромных цен...

Зачем Apple создала SoC M4 через полгода после выхода M3? Параметры новой платформы показывают, что её блок NPU в разы мощнее, чем в CPU Intel и AMD Как и утверждали недавние слухи, сегодня Apple представила новые iPad Pro на основе новой SoC M4. И она технически довольно любопытна.  Для начала стоит напомнить, что M3 дебютировала лишь осенью, и замена ей вряд ли была бы нужна... если бы не искусственный интел...

Видеокарты NVIDIA GeForce RTX 50 будут использовать память нового поколения GDDR7 Графические процессоры серии NVIDIA GeForce RTX 50, которые называются "Blackwell", будут использовать память нового поколения GDDR7 с конфигурацией интерфейса памяти, которая не сильно отличается от текущего поколения GPU

Мощнее Snapdragon 8 Gen 3, энергоэффективнее Dimensity 9200. Представлена флагманская SoC MediaTek Dimensity 9300 Спустя пару недель после премьеры Snapdragon 8 Gen 3 состоялась премьера ее главного конкурента – однокристальной платформы MediaTek Dimensity 9300. Как и прогнозировалось, Dimensity 9300 мощнее Snapdragon 8 Gen 3, но MediaTek также поработала над энергоэффективно...

TSMC может представить 1,4-нм техпроцесс уже в 2027 году В настоящее время идет его активная разработка, но в приоритете пока следующий 2-нм техпроцесс

Snapdragon 8 Gen 5 будет оснащен модернизированными ядрами Pegasus Snapdragon 8 Gen 5, будущее поколение процессоров от Qualcomm, сохранит архитектуру CPU своего предшественника, но обзаведется новыми ядрами Pegasus

Новые, но лишь формально, процессоры Intel Core 14-го поколения будут представлены 8 января Компания Intel представит оставшуюся часть процессоров Core 14-го поколения уже 8 января.  Напомним, пока что представлены были только Core 14xxxK с разблокированным множителем, а большая часть линейки пока недоступна. Нас ждёт множество моделей Core i3, Core i5 и...

Представлена SoC MediaTek Dimensity 9300+ MediaTek анонсировала новый процессор Dimensity 9300+. Как следует из названия, это разогнанная версия Dimensity 9300, выпущенного в прошлом году. ОсобенностиMediaTek Dimensity 9300+ основан на 4-нм узле TSMC третьего поколения (вероятно, N4P) и, в значительной степени…

AMD совершит очередной «квантовый скачок»? Производительность ядра Zen 5 может быть более чем на 40% выше, чем у Zen 4 Процессоры AMD на архитектуре Zen 5, возможно, принесут не просто большой, а огромный прирост производительности относительно предшественников.  Известный инсайдер Kepler утверждает, что ядро Zen 5 более чем на 40% производительнее, чем Zen 4. Правда, тут не очень...

Представлен Renault Duster 2024 Компания Renault представила третье поколение кроссовера Duster, которое оказалось копией румынской модели Dacia Duster, которая была представлена в конце ноября прошлого года. Внешне новый Renault Duster практически идентичен Dacia Duster, за исключением логотипов и н...

Представьте себе Windows-ноутбук на процессоре MediaTek с GPU Nvidia. Две компании объединили усилия для создания такой SoC Сегодня мы уже говорили о том, что в течение одного — трёх лет глава Arm ожидает появления платформ на архитектуре Arm для ПК с Windows, которые будут конкурировать с SoC Snapdragon X. Новые данные говорят о том, что решение MediaTek выйдет уже достаточно скоро.&n...

Таким будет сердце GeForce RTX 5090. GPU GB202 приписывают 24 576 ядер CUDA С первенцем Nvidia в рамках поколения Blackwell мы уже ознакомились. Это GPU для ускорителей для ИИ с чудовищными параметрами. Но поколение Blackwell также будет включать и игровые решения, и сегодня появились подробности о топовом GPU GB202, который послужит сердцем дл...

Никакого прорыва в платформе Huawei Kirin 9000s нет? Исследовательская компания говорит, что SoC создана по старому техпроцессу 14 нм Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет SoC Kirin 9000s наделала немало шума, так как оказалось, что производящая её китайская компания SMIC под давлением санкций каким-то образом сумела освоить ...

Всего четыре больших ядра, нет Hyper-Threading, и это новый флагман Intel. В Сети засветился топовый процессор поколения Lunar Lake В базе SiSoftware Sandra сегодня засветились не только новые видеокарты Intel, но и новый процессор. Модель Lunar Lake в составе Samsung Galaxy Book5 Pro прописалась в базе ПО, раскрыв часть своих параметров.  Безымянный CPU содержит всего восемь ядер (четыре боль...

Почему новый процессор Dimensity 9300 круче Snapdragon 8 Gen 3, и вам нужен смартфон на MediaTek В конце прошлого месяца компания Qualcomm показала процессор Snapdragon 8 Gen 3, который будет использоваться в большинстве флагманских смартфонов 2024 года. Но ее конкурент в лице MediaTek не дремлет и уже сегодня подготовил свой ответ. 6 ноября вышел новый процессор Dimen...

Qualcomm Snapdragon 7+ Gen 3 будет иметь ядро Cortex-X4 Prime с тактовой частотой 2,9 ГГц Предположительно архитектура чипа будет повторять Snapdragon 8 Gen 3 и иметь конфигурацию ядер "1+4+3".

Gainward представила видеокарту GeForce RTX 3050 6 ГБ Pegasus Сегодня компания Gainward, довольно известный производитель видеокарт с огромным арсеналом интересных моделей, официально представила новую модель под названием GeForce RTX 3050 6 ГБ Pegasus. Данная видеокарта использует графический процессор, произведённый на архитектуре NV...

Qualcomm следует за MediaTek с новым флагманским процессором Компания собирается не только перейти на использование ядер собственной разработки, но также пересмотреть саму конфигурацию Snapdragon 8 Gen 4

Серия Huawei Mate 70 будет оснащена чипом Kirin с «суперядром» Возможно, Huawei представит обновленную конфигурацию ядер в чипе Kirin специально для Mate 70.

Ядер много, но толку мало. Samsung Galaxy S24 на SoC Exynos 2400 протестировали в Geekbench Со следующего поколения Samsung вернет практику применения в своих флагманах двух однокристальных систем – Snapdragon от Qualcomm и собственной Exynos. Возможности Snapdragon 8 Gen 3 уже известны, а сейчас появились данные о производительности Exynos 2400: базовый...

Новейшие процессоры Intel и AMD, 14-дюймовый сенсорный экран, 32 ГБ ОЗУ LPDDR5-6400 и 2 ТБ SSD, недорого. Представлены ноутбуки HP Envy x360 2024 Представлены обновленные 14-дюймовые ноутбуки HP Envy x360 2024, которые оснащаются новейшими процессорами Intel и AMD. Ноутбуки Envy x360 представлены двумя моделями на базе процессоров AMD, а также двумя моделями на базе процессоров Intel. В лагере AMD есть ...

Обзор и тестирование SSD-накопителя NVMe PCIe 5.0 M.2 MSI Spatium M570 PRO Frozr объемом 2 ТБ Мы изучим флагманский накопитель MSI с интерфейсом PCI-e x4 Gen5, который основан на восьмиканальном контроллере Phison PS5026-E26 (выпускается по 12 нм техпроцессу на мощностях TSMC) и снабжен крупным радиатором.

1-нм техпроцесс к 2027 году: Intel представила амбициозную дорожную карту Недавно Intel провела мероприятие Foundry Direct Connect, на котором были представлены новые интересные разработки в дорожной карте производства чипов и стратегии производства.

Это первый ноутбук с Intel Core HX 14-го поколения и RTX 4060. Появились фотографии Lenovo Savior Y7000P Компания Lenovo объявила сегодня о скором выпуске нового поколения игрового ноутбука Savior Y7000P, который поступит в продажу в январе. Он получил новый корпус и свежий процессор. Игровой ноутбук Savior Y7000P 2024 получил новую конструкцию с увеличенным задним воздух...

ASRock представила материнские платы Z790I Lightning WiFi и B760I Lightning WiFi Mini-ITX Компания ASRock представила материнские платы Z790I Lightning WiFi и B760I Lightning WiFi Mini-ITX, которые обладают мощной производительностью и поддержкой процессоров Intel Core 14-го поколения K-серии.

Даже в 2025 году у процессоров Intel будет лишь восемь больших ядер. Зато обновлённые Arrow Lake получат до 32 малых ядер На текущий момент лучшие настольные потребительские процессоры Intel Core предлагают максимум 24 ядра: восемь больших и 16 малых. У процессоров Meteor Lake больших ядер будет максимум шесть. CPU Arrow Lake, которые выйдут в следующем году, также будут придерживаться фор...

Могли бы получить Core i9-15900K, а получим Core Ultra 9 285K. Стали известны названия будущих настольных процессоров Intel В мобильном сегменте Intel уже отказалась от бренда Core i, перейдя на обычные Core и Core Ultra. В настольном такой переход нас ждёт позже в этом году с выходом Arrow Lake-S. И теперь мы знаем, как будут называться такие CPU.  Инсайдер перечислил шесть моделей бу...

24 ядра, частота 6,2 ГГц, потребление 410 Вт и температура свыше 100 градусов Цельсия. Характеристики топового Core i9-14900KS В Сети появились характеристики будущего топового процессора Intel семейства Raptor Lake-S. Core i9-14900KS сможет работать на частоте до 6,2 ГГц – на 200 МГц больше, чем у Core i9-13900KS. Но топовый процессор потребляем много и прилично греется. В составе Core ...

Тесты новейшего процессора Loongson 3A6000 Недавно был представлен 8-ядерный процессор следующего Loongson 3A6000 на базе ядра LA664, а знакомый энтузиаст смог приобрести плату на данном процессоре (модель процессора LS3A6000-HV и чипсет LS7A2000). Читать далее

AMD Zen 6 будет иметь три конфигурации чиплета: от 8 до 32 ядер Ожидается, что архитектура Zen 5 будет представлена на выставке Computex 2024, а Zen 6 будет доступна в трех конфигурациях.

Наконец-то AMD вернётся к наращиванию количества ядер у своих процессоров. В Сети засветился APU Ryzen поколения Strix Point с 12 ядрами В базе Geekbench засветился мобильный процессор AMD линейки Strix Point. Новинка пока не имеет имени и скрывается за кодом 100-000000994-14_N. ПО подтверждает последние слухи и утечки о том, что количество ядер у процессоров Strix Point будет увеличено с текущих восьми...

Intel Lunar Lake будет использовать 3-нм техпроцесс TSMC 1024 графических ядра, трассировку лучей и память LPDDR5X-8533

iPhone 17 не будет использовать передовой 2-нм техпроцесс TSMC Ожидается, что A19 Pro будет использовать 3-нм техпроцесс "N3P" от TSMC, который также будет использоваться в iPhone 17 Pro и iPhone 17 Pro Max

Китай представил новый суперкомпьютерный процессор: 384 ядра и 13,8 терафлопс превосходят конкурентов Национальный суперкомпьютерный центр Китая представил мощный процессор Sunway SW26010 Pro, на котором построен его новейший суперкомпьютер. Обладая впечатляющими 384 ядрами, чип улучшенной конструкции в четыре раза увеличивает производительность вычислений FP64, что дел...

Ещё мощнее, ещё прожорливее, но снова не для геймеров. Через несколько дней Nvidia может показать новый ускоритель B100 для ИИ Компания Nvidia уже на следующей неделе покажет свой будущий ускоритель для ИИ, который сделает текущий флагман H100 решением прошлого поколения.  Речь об ускорителе B100 поколения Blackwell. Его должны показать на конференции GTC, которая стартует 18 марта. ...

Intel заявила, что хочет производить чипы для AMD. И для всех остальных, кто только пожелает Intel не сбавляет обороты. Компания представила новую бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A Компания Intel всерьёз намерена стать вторым после TSMC производителем полупроводниковой продукции в 2030 году. И в рамках этой стратегии Intel...

Мобильный процессор AMD "Kraken Point" имеет ядро Zen 5 и конфигурацию 4P+4C В дополнение к "Fire Range", "Kraken Point" станет следующим монолитным мобильным процессором Ryzen с улучшенным 4-нм EUV узлом TSMC

Представлен Huawei MateBook 14 — ноутбук с экраном 3 : 2 OLED 2.8K с частотой 120 Гц, Core Ultra, вентиляторами с «акульими плавниками» и ценой всего от 845 долларов Несмотря на то, что у Intel отозвали лицензию на поставки процессоров компании Huawei, последняя представила новый ноутбук на основе такого CPU. Новинка называется MateBook 14.  Как и новейший MateBook X Pro 2024, обзор которого уже есть у нас на сайте, MateBook 1...

Процессоры Intel Lunar Lake-V получат 8 ядер в конфигурации 4P плюс 4LPE и до 32 ГБ LPDDR5X-8533 А также интегрированная графика Battlemage.

Exynos 2500 будет производиться на 3-нм техпроцессе Samsung и превзойдет Snapdragon 8 Gen 4 Такую информацию раскрыл руководитель компании Samsung

Техпроцесс N4e позволит TSMC выпускать более экономичные чипы Они будут недорогими и массовыми.

Snapdragon 7, которая положит на лопатки даже Snapdragon 8 Gen 2? Появились характеристики SoC Snapdragon 7 Plus Gen 3 В Сеть попали параметры однокристальной системы Snapdragon 7 Plus Gen 3, которая в ближайшее время должна выйти на рынок.  Инсайдер Digital Chat Station утверждает, что новая SoC получит одно ядро Cortex-X4 с частотой 2,9 ГГц, четыре ядра Cortex-A720 с частотой 2,...

Представлен Huawei MateBook D16 нового поколения. Это 16-дюймовый ноутбук массой 1,68 кг с Core i9 в топовой конфигурации Huawei представила в Китае новое поколение мобильных компьютеров MateBook D16. Для модели с 16-дюймовым экраном масса невелика – всего 1,68 кг, при этом внутри в топовых версиях разместилась аккумуляторная батарея емкостью 70 Вт·ч. Но дискретной графики нет...

Недешевая альтернатива обычным ноутбукам и MacBook Air: названа стоимость Samsung Galaxy Book 4 Edge на базе 12-ядерного процессора Qualcomm Высокая цена стала одной из причин околонулевого спроса на ноутбуки первых поколений на базе SoC Qualcomm и с ОС Windows, и вот сейчас Qualcomm совершает очередную попытку навязать конкуренцию обычным ноутбукам на процессорах Intel и AMD. Получится ли — покажет вр...

Intel два года будет предлагать покупателям фактически одни и те же мобильные процессоры? Arrow Lake-HX могут выйти лишь в начале 2025 года Возможно, некоторые процессоры Intel, которые мы ожидали в этом году, выйдут лишь в следующем.  Согласно данным инсайдера Golden Pig Upgrade, в этом году не будет новых высокопроизводительных CPU Core-HX. Речь о поколении Arrow Lake, которое должно выйти в конце т...

6000 мА·ч, без AMOLED и всяких излишне мощных платформ. Представлен смартфон Vivo Y38 Компания Vivo анонсировала потенциально дешёвый смартфон с огромным аккумулятором. Модель Y38 получила элемент питания ёмкостью 6000 мАч.  С автономностью тут всё должно быть отлично, так как кроме большого аккумулятора тут ещё и экран HD+, что снижает потребление...

16-дюймовый топовый Asus на новейшем 12-ядерном APU Ryzen. В Сети засветился ноутбук ROG Zephyrus G16 на основе процессора Strix Point Топовый игровой ноутбук Asus ROG Zephyrus G16 с пока ещё неанонсированным процессором AMD Strix Point засветился в Сети с ценой 3700 долларов.  Само собой, купить его нельзя, но обилие утечек в последнее время намекает на скорый анонс.  В описании ПК указано,...

Google готовит к релизу чип Tensor G4, но он всех разочарует На протяжении нескольких лет компания Google абсолютно огорчала фанатов своей серией процессоров Tensor, потому что процессоры этой линейки оказались слишком слабыми в сравнении с конкурентами. И согласно последним утечкам результатов тестирования, в которых появился Tensor ...

Даже слайды самой Intel не обещают никакого прорыва в производительности CPU Meteor Lake, хотя обещают какие-то чудеса в вопросе энергоэффективности Компания Intel уже сегодня представит процессоры Meteor Lake, но в Сеть попали некоторые слайды с презентации.  На них Intel сравнивает свой новый CPU Core Ultra 7 165H с Ryzen 7 7840U в 28-ваттном режиме. Если точнее, сравнивает iGPU.  В таком сравнении нови...

Да, тут всего четыре малых ядра без Hyper-Threading, зато пассивное охлаждение. Представлен мини-ПК MSI Cubi N ADL Компания MSI представила мини-ПК Cubi N ADL, который имеет пассивную систему охлаждения.  Такие мини-ПК в целом встречаются не особо часто, и уж тем более среди продукции известных брендов. Конечно, пассивная СО — признак малопроизводительного CPU. В данном ...

Часы Samsung Galaxy Watch 7 могут превзойти Apple Watch 9 в энергоэффективности Чип в новых часах Samsung будет производиться на техпроцессе 3 нм

Яндекс представил третье поколение больших языковых моделей YandexGPT В ближайшее время нейросети третьего поколения YandexGPT появятся и в сервисах Яндекса для широкой аудитории.

AMD может использовать 4-нм техпроцесс Samsung для производства некоторых APU и видеокарт Возможно, это будут решения начального класса.

В серии GeForce RTX 50 будут использовать 3-нм техпроцесс и появится DisplayPort 2.1 — инсайдер У конкурента уже есть DisplayPort 2.1.

AMD упакует 32 процессорных ядра в один чиплет. Такими будут уже CPU на архитектуре Zen 6 Несмотря на то, что в Сети ещё достаточно мало информации об архитектуре AMD Zen 5 и продуктах на её основе, сегодня мы получили достаточно подробные данные о CPU на основе Zen 6.  Информация касается в основном серверных CPU, но она всё равно важна, потому как ко...

Пока Intel отказывается от Hyper-Threading, AMD создаёт мобильных монстров. Компания подтвердила основные параметры новых APU Ryzen Strix Point Компания AMD подтвердила основные характеристики своих грядущих мобильных гибридных процессоров Strix Point.   Они выйдут в этом году и будут полностью новой разработкой. Процессорная часть будет представлена ядрами Zen 5, графическая — архитектурой RDNA 3+ ...

Samsung может начать массовое производство 2-нм чипов с технологией GAA в 2025 году Компания Samsung может начать массовое производство новых чипов с использованием 2-нанометрового технологического процесса в 2025 году, о чем пишет gizmochina. В этом 2-нм техпроцессе будет использоваться технология затворных транзисторов (GAA) следующего поколения, при...

1 ГГц для GPU в SoC Snapdragon. Платформа Snapdragon 8 Gen 3 for Galaxy в смартфонах Galaxy S24 сможет похвастаться такой частотой графического ядра Смартфоны Samsung Galaxy S24, как известно, получат в том числе SoC Snapdragon 8 Gen 3. Но, как и в текущем поколении, это будет специальная версия Snapdragon 8 Gen 3 for Galaxy.  Инсайдер Ice Universe сообщает, что графическое ядро Adreno 750 в этой платформе буд...

16 дюймов, 2,5К, Core i7-13650HX, Nvidia RTX 4070 Laptop и блок питания на 240 Вт, недорого. Представлен новый Mechanical Revolution Aurora Pro 2024 Компания Mechanical Revolution выпустила игровой ноутбук Aurora Pro 2024, который получил вместо процессора предыдущего поколения Core i7-12650H более новый Core i7-13650HX. Версии с видеокартами Nvidia RTX 4060 и 4070 Laptop продаются по цене 900 и 970 долларов соответ...

Первым устройством Samsung, которое получит новейший чип с технологией SF3, будет Galaxy Watch 7 В случае успеха 3-нанометрового техпроцесса второго поколения, чипы разработанные на данной технологии, могут оказать и в будущих смартфонах Galaxy S25

Видеокарты NVIDIA GeForce RTX 50 получат разъем DisplayPort 2.1 Кроме того грядущие карты GeForce RTX 50 будут производиться по 3-нм техпроцессу TSMC

Разработка техпроцесса Intel 18A уже завершена Опытное производство продукции будет запущено в следующем квартале.

Intel подтверждает, что начнёт серийный выпуск чипов по технологии 20A в следующем году Собственно, и техпроцесс Intel 18A будет освоен до конца 2024 года.

SK Group: переход на новые техпроцессы теперь снижает себестоимость чипов от силы на 10% Затраты растут.

Nvidia перейдет на 3-нм техпроцесс TSMC в 2024 году для своей новой архитектуры Blackwell Nvidia присоединится к Apple и другим тяжеловесам отрасли, перейдя на 3-нм техпроцесс в следующем году.

Процессор Intel Core Ultra 5 135U с всего двумя большими ядрами конкурирует с 45-ваттным Core i5-13500H и шестиядерным Ryzen 5 7640HS Уже через месяц Intel представит мобильные процессоры Meteor Lake, которые в максимальной конфигурации предложат меньше ядер, чем сейчас есть у моделей Raptor Lake. Свежие данные о CPU Core Ultra 5 135U указывают, что и «двухъядерные» модели из линейки Intel...

Экран 2,8К 120 Гц и Ryzen 7 7840U – за 565 долларов. Представлен Lenovo Xiaoxin Air 14 Ryzen Edition Lenovo вывела на домашний рынок новый 14-дюймовый ноутбук Xiaoxin Air 14 Ryzen Edition. Новинка базируется на процессоре Ryzen 7 7840U, и менее мощных альтернатив ему нет. Вообще конфигурация только одна, с 16 ГБ ОЗУ и SSD объемом 1 ТБ. Экран характеризуется разрешение...

В основе нового чипа Google для ИИ будет лежать в том числе архитектура RISC-V. Предположительно, речь о ядре SiFive X390 Похоже, компания Google собирается использовать архитектуру RISC-V для своих будущих чипов TPU, нацеленных на вычисления с ИИ.  Компания SiFive, которая является разработчиком коммерческих процессоров RISC-V и IP-блоков для них на основе архитектуры набора команд ...

AMD может разработать конфигурации Zen 6/6c чиплетов на 8, 16 и 32 ядра В текущем поколении чиплеты Zen 4c содержат до 16 ядер.

Samsung Exynos 2400 получит графику нового поколения Сегодня появилась новая информация о грядущем флагманском процессоре Samsung Exynos 2400, который должен отправиться в продажу в ближайшем будущем — вероятно, в 2024 году появится первый гаджет на базе этого чипа. Например, инсайдеры считают, что новая графическая подсистема...

ASRock и Asus рассекретили четыре новых APU AMD для настольных ПК. Линейку Ryzen 8000G (Hawk Point) возглавит 8-ядерный Ryzen 7 8700G Asus и ASRock обновили описание своих материнских плат для процессоров AMD: в перечне поддерживаемых APU прописались четыре новые модели линейки Ryzen 8000G. Они ещё пока не представлены официально – премьера ожидается в начале 2024 года на выставке...

Samsung переименовала свой 3-нанометровый техпроцесс SF3 в 2-нанометровый SF2. Ранее подобным образом поступила Intel Похоже, не только Intel считает хорошей идеей переименовать техпроцессы. Как сообщается, Samsung Foundry решила переименовать техпроцесс 3 нм в техпроцесс 2 нм.  создано DALL-E Если точнее, 3-нанометровый техпроцесс второго поколения, который ранее компания называ...

В сеть попала информация о конфигурации кристалла Intel Emerald Rapids Флагманский процессор Xeon 8592 получит 64 ядра и 128 потока, а также кэш L3 объемом 480 МБ

MediaTek и NVIDIA совместно разрабатывают конкурента Snapdragon X Elite Предполагается, что чипсет будет использовать передовые технологии и будет производиться по 3-нм техпроцессу TSMC.

Первый ноутбук на новейших 15-ваттных Intel Core Ultra, но не с Windows или Linux. Представлен Asus ExpertBook CX54 Chromebook Plus Битва iGPU Intel и AMD вышла на новый уровень. Core Ultra 7 155H и Ryzen 7 7840HS сравнили в восьми играх и двух режимах Компания Acer представила первый хромбук на основе новейших процессоров Intel Core Ultra. Модель ExpertBook CX54 Chromebook Plus опирается на Meteor...

Nvidia уже работает над несколькими системами охлаждения для видеокарт GeForce RTX 50. СО рассчитаны на TDP от 250 до 600 Вт Компания Nvidia уже тестирует различные варианты кулеров для видеокарт GeForce RTX 50.   прототип топовой СО для RTX 40; фото: Gamer2live В работе находится несколько вариантов систем охлаждения, рассчитанных на TDP от 250 Вт до 600 Вт. При этом это не означа...

Ещё один процессор-«метеор». Из восьми ядер Core Ultra 115U высокопроизводительные только два Ассортимент процессоров Intel линейки Meteor Lake пополнился новой моделью под названием Core Ultra 115U. CPU представлен двумя высокопроизводительными ядрами (P-ядра), четырьмя энергоэффективными (E-ядра) и еще двумя маломощными энергоэффективными. Итого: ядер — ...

Девять ядер процессора, но со сниженными частотами. Pixel 8 Pro засветился в новых утечках Смартфоны Pixel 8 и 8 Pro уже не раз появлялись в Сети в том или ином виде. Теперь автор канала   This is Tech Today опубликовал видео с короткой распаковкой двух новинок, а также скриншоты, где можно видеть параметры SoC Tensor G3.  Платформа включает девяти...

TSMC впервые упомянула про разработку 1,4-нм техпроцесса Компания сообщила о новом производственном узле A14

Intel тизерит «обновление Windows» в 2024 году В прошлом месяце на аналитической конференции Citi финансовый директор Intel Дэвид Зинснер (David Zinsner) рассказал об обновлении Windows, которое выйдет в следующем году, и предположил, что оно может подтолкнуть пользователей к обновлению своих ПК. «Мы считаем, что 2024 г...

Служба Intel Foundry получила заказ на техпроцесс 18A: 64-ядерная SoC Neoverse на базе Arm Компания Faraday Technology Corporation, тайваньский разработчик кремниевых ИС, представила планы по созданию новой 64-ядерной системы-на-кристалле (SoC) с использованием самого передового технологического процесса Intel 18A

Один из первых на Ryzen 9 8945HS. В Сети засветился новый ноутбук Asus ROG Zephyrus G14 В Сети засветился один из первых ноутбуков, основанных на новых мобильных процессорах AMD Ryzen 8000.   Модель Asus ROG Zephyrus G14, вероятно, будет доступна в разных конфигурациях с разными APU, но засветилась с флагманским в своей линейке Ryzen 9 8945HS.  ...

Количество ядер в процессоре смартфона — насколько это важно? Ядра процессора хоть и влияют на производительность смартфона, но большее значение имеет не их число, а их характеристики. Расскажем, насколько важно количество ядер в процессоре смартфона, и на что важно обратить внимание при выборе телефона. Что такое ядра процессора телеф...

Сверхпроизводительная SoC Apple M3 Ultra может появиться уже в середине текущего года, но не в Mac Pro Однокристальная система Apple M3 Ultra должна стать доступной для купертинского гиганта примерно в середине текущего года.  Как сообщают аналитики TrendForce, сразу несколько компаний готовятся разместить заказы на мощностях TSMC. Продукция будет производиться по ...

Процессоры Intel Core 15-го поколения будут совмещать в себе сразу 3 микроархитектуры ядер — инсайд Больше микроархитектур, хороших и разных.

Ядра AMD Zen 5c могут быть построены на более совершенном 3-нм техпроцессе, чем Zen 5 Ожидается, что AMD предложит процессоры EPYC как со стандартными, так и с плотными ядрами Zen 5, но похоже, что между ними может быть большая разница, чем просто количество ядер и тактовая частота.

RTX 5090 может появиться в продаже в 2025 году и будет использовать 3-нм техпроцесс TSMC Также новинка получит видеопамять GDDR7 и DisplayPort версии 2.1

Предприятия Intel в Огайо, которые должны выпускать чипы для военных, появятся не ранее 2026 года Здесь будет освоен передовой для компании техпроцесс Intel 18A.

Раскрыты подробности о процессорах Intel Core 15-го поколения — топовый Intel Core i9-15900K получит 26 ядер Процессоры серии Arrow Lake поступят в продажу ближе к концу 2024 года.

Qualcomm Snapdragon X Elite опередил Intel Core Ultra 7 155H в тестах с ИИ Передовой процессор Qualcomm Snapdragon X Elite предназначен для использования в ноутбуках нового поколения и появится в продаже примерно в середине 2024 года, но перед тем, как отправить решение в релиз, Qualcomm решила немного похвастаться возможностями чипа в области гене...

Samsung надеется наладить выпуск чипов для Qualcomm по 2-нм техпроцессу Подобные попытки предпринимаются на каждой новой ступени литографии.

Intel отчиталась об успехах в освоении пяти новых техпроцессов за четыре года Всё идёт по плану или даже лучше.

Тестирование процессора Intel Core i9-14900K для платформы LGA1700: новый старый флагман Предыдущий топовый процессор Intel Core i9-13900K ощутимо повысил производительность по сравнению с предшествующим флагманом, а вот новый Core i9-14900K оказался практически тем же 13900K, просто с чуть повышенной тактовой частотой, которая еще и не всегда достигается на пра...

От 2 ядер на частоте 3,9 ГГц до 24 ядер на частоте 5,8 ГГц. Ретейлер засветил 65-ваттные процессоры Intel Core 14 поколения Инсайдер, известный в Twitter под ником momomo_us, обнаружил в каталоге одного из ретейлеров сразу девять процессоров Intel Core 14 поколения. Это настольные CPU с TDP 65 Вт – их премьера состоится лишь через месяц, но ретейлер поспешил добавить будущие новинки в ...

Samsung Galaxy S24 Ultra впервые протестировали в Geekbench. В нем много интересного В Сети опубликован результат теста Samsung Galaxy S24 Ultra (каталожный номер смартфона – SM-S928U) в бенчмарке Geekbench версии 6. Результат впечатляющий – 2234 балла в однопоточном тесте и почти 6807 баллов в многопоточном. Это очень хороший результат, обу...

Qualcomm показала новые процессоры для Bluetooth-наушников: S3 Gen 3 и S5 Gen 3 Qualcomm представила следующее поколение своих аудиочипсетов — S3 Gen 3 и S5 Gen 3. Эти усовершенствования обещают улучшенное качество звука, повышенную вычислительную мощность и новые функции для аудиоустройств среднего и премиум-класса.

Представлен стилус Apple Pencil Pro. Это первое существенное обновление Apple Pencil с момента выхода изначальной модели Кроме новых планшетов вчера Apple представила и новый стилус Apple Pencil Pro. Это третье поколение стилуса компании, но первое по-настоящему значимое обновление за почти шесть лет.  Во-первых, стилус теперь поддерживает функцию Find My, так что его можно будет пр...

Intel представляет новое поколение мобильных процессоров Lunar Lake-V Intel перезапускает линейку мобильных чипов с до 8 производительными ядрами, 8 графическими ядрами Xe2 и поддержкой 32 ГБ LPDDR5X.

Mobvoi представила умные часы TicWatch Pro 5 В мае прошлого года компания Mobvoi представила свои новые умные часы TicWatch Pro 5, а год спустя бренд анонсировал модель Enduro — необычную версию в линейке TicWatch Pro для энтузиастов, которым нужны прочные умные часы. Стоит отметить, что часы TicWatch Pro 5 Enduro рабо...

Бельгийская Imec получит $2,7 млрд на создание пилотной линии по выпуску чипов На ней будут обкатываться техпроцессы с нормами менее 2 нм.

Snapdragon 8 Gen 4 вернёт Qualcomm лидерство? Компания Arm якобы испытывает проблемы с суперъядром Cortex-X5 Согласно свежим данным, у Arm имеются проблемы с разрабатываемым ей суперъядром Cortex-X5.   Проблемы касаются энергоэффективности. Инсайдер Revegnus говорит, что при работе на высокой частоте ядро потребляет слишком много энергии, а при ограничении лимита мощност...

Toyota GR86 нового поколения получит мотор мощностью более 300 л.с. с гибридным «довеском» как у Land Cruiser 250 По данным японского ресурса BestCarWeb, народный спорткар Toyota GR86 следующего поколения избавится от оппозитного мотора – автомобиль получит рядный бензиновый двигатель в составе гибридной системы (по типу той, что применяется в Land Cruiser Prado 250 и новом L...

TSMC намерена начать производство 1,6-нм чипов A16 в 2026 году В компании считают, что новый техпроцесс поможет усовершенствовать оборудование дата-центров для ИИ

Да, Huawei снова смогла, несмотря на санкции. SoC Kirin 9010 в новых смартфона Pura70 — это действительно новая платформа с 12-ядерным CPU Представлен суперфлагман Huawei Pura70 Ultra. Он получил выдвижной объектив, дюймовый датчик и цену почти 1400 долларов Huawei сегодня представила смартфоны флагманской линейки Pura70, которые получили новую SoC Kirin 9010. Подробностей о ней изначально не было, вплоть...

AMD анонсировала новые процессоры Kraken Point для ноутбуков с 8 ядрами AMD анонсировала новые процессоры Kraken Point с 8 ядрами Zen 5 и Zen 5C для ноутбуков среднего ценового сегмента. Процессоры будут оснащены графическим ядром RDNA 3.5 и новым движком XDNA 2 "Ryzen AI". Релиз запланирован на 2025 год.

По слухам, 3-нм Snapdragon 8 Gen 4 откажется от эффективных ... Давно известно, что как Snapdragon 8 Gen 4, так и Dimensity 9400 будут массово производиться по 3-нм техпроцессу TSMC. Но сегодня стало известно некоторые сведения о будущих флагманских процессорах...

Утечка конфигурации процессоров Intel Emerald Rapids, появилось больше подробностей Процессоры Intel Xeon 5-го поколения Emerald Rapids должны появиться на рынке 14 декабря

Radeon RX 8800 XT с производительностью около RTX 4080 Super, 16 ГБ памяти и ценой 600 долларов? Появились подробности о новом поколении видеокарт AMD В Сети появились новые подробности о будущих видеокартах AMD Radeon, которые могут выйти уже в конце текущего года.  Согласно данным автора канала Moore's Law Is Dead, топовым решением нового поколения у AMD будет GPU Navi 48. Название не очень понятно, так ка...

Графический процессор Arc B770 возможно будет иметь 8192 ядра Внутренние документы, предоставленные Moore’s Law is Dead, указывают на то, что видеокарты Battlemage следующего поколения будут ограничены настольными компьютерами и рабочими станциями.

TSMC готова к эре Angstrom-14 и начинает разработку новейшего 1,4-нм техпроцесса Помимо 14 нм узла, TSMC уже ведёт исследования процессов с размером менее 10 нм для производства чипов следующего десятилетия.

Утечка конфигурации процессоров Intel "Emerald Rapids", появилось больше подробностей Процессоры Intel Xeon 5-го поколения Emerald Rapids должны появиться на рынке 14 декабря.

Китай переводит производство собственных процессоров на 7 нм Loongson, одна из наиболее значимых китайский компаний, занятых в сфере разработки графических и центральных процессоров, несмотря на американские санкции, высокими темпами внедряет пока еще актуальный 7-нанометровый техпроцесс на замену 12-нанометровому

Очень необычные для Intel процессоры Lunar Lake всего с четырьмя большими ядрами в конце текущего года выйдут в очень ограниченных объёмах Похоже, процессоры Intel Lunar Lake ждёт судьба актуальных ныне Meteor Lake. В том смысле, что первые тоже выйдут в самом конце года.  Согласно данным инсайдера Golden Pig Upgrade, Intel в случае с Lunar Lake будет придерживаться той же программы EEP (Early Enable...

Представлены процессоры AMD Ryzen Pro 8040 – меньше TDP, но мощнее Core Ultra AMD представила линейку процессоров Ryzen Pro 8040. Это самые передовые процессоры x86, предназначенные для бизнес-ноутбуков и мобильных рабочих станций. Семейство APU AMD Ryzen PRO 8040 «Hawk Point» использует ту же архитектуру ядра процессора Zen 4 и ту же архитектуру…

Ryzen 7 8845H, 32 ГБ ОЗУ и экран 3К за 780 долларов. Представлены Lenovo ThinkBook 14+ 2024 и ThinkBook 16+ 2024 Lenovo представила в Китае новое поколение ноутбуков ThinkBook 14+ и ThinkBook 16+. Модели 2024 года построены на 8-ядерном процессоре Ryzen 7 8845H, который достигает частоты 5,1 ГГц. Обе модели оснащаются аккумуляторами емкостью 85 Вт·ч, наделены памятью LPDDR...

Начались продажи планшета Samsung Galaxy Tab S6 2024 на новом процессоре Samsung выпускает третье поколение одного планшета

16-ядерный Ryzen 9 7950X и 24-ядерный Core i9-14900K покажутся игрушками на их фоне. 19 октября AMD представит Threadripper Pro 7000 с 96-ядерным CPU во главе Процессоры AMD Ryzen Threadripper Pro 7000 под кодовым именем Storm Peak будут представлены уже совсем скоро. Согласно свежим данным, анонс состоится уже 19 октября.  К этому моменту текущей линейке Ryzen Threadripper Pro 5000 исполнится полтора года. Впрочем, и с...

Intel замахнулась на 1 нм. Компания представила техпроцесс Intel 10A и назвала сроки его запуска Компания Intel на днях представила бизнес-единицу под названием Intel Foundry и новый техпроцесс Intel 14A — первый техпроцесс за пределами разрабатываемой сейчас группы. Прошло несколько дней, и компания анонсировала ещё более тонкий техпроцесс — Intel 10A....

14-дюймовый экран 2,8К 120 Гц и Core i5-13500H за 585 долларов. Представлен RedmiBook 14 2024 Сегодня Redmi официально представила ноутбук RedmiBook 14 2024. Как следует из названия, он получил 14-дюймовый дисплей – разрешение экрана составляет 2,8К, кадровая частота – 120 Гц. RedmiBook 14 2024 – тонкая и легкая модель: толщина – 15,9 дю...

Samsung выпустила планшет Galaxy Tab S6 Lite в третий раз Планшет Samsung Galaxy Tab S6 Lite оказался настолько удачен, что компания Samsung выпускает его вариации уже в третий раз. Оригинал выпустили в 2020 году, затем был переиздание 2022 года с небольшими изменениями (в основном SoC), а теперь в продаже появится модель 2024...

AMD представила семейство процессоров EPYC 9005 «Turin» - до 192 ядер и 500 Вт TDP Предварительные спецификации линейки EPYC Turin указывают на наличие процессоров с ядрами Zen 5 и Zen 5C

Вот если бы AMD или Intel обеспечивали такой прирост. Китайский процессор Zhaoxin KX-7000 более чем вдвое быстрее своего предшественника Китайская компания Zhaoxin анонсировала процессоры KX-7000 в конце прошлого года, а теперь один из них засветился в бенчмарке.  Тестовая модель имеет восемь ядер без поддержки мультипоточности и работает на частотах 3,0-3,6 ГГц. Также можно отметить 32 МБ кеш-памя...

Intel Xeon W9-3595X активно тестируют перед релизом Следующий поколение процессоров Intel Xeon-W, вероятно, уже совсем скоро отправится в релиз, потому что модель W9-3595X удалось обнаружить в базе данных Geekbench с 60 ядрами. Стоит напомнить, что ранее инсайдеры опубликовали различные данные о процессорах нового поколения и...

Представлены процессоры Ryzen 5 7545U и Ryzen 3 7440U с ядрами Zen4c Ядра Zen4c практически идентичны обычным Zen4, но имеют больш3ую плотность и энергоэффективность

Это процессоры AMD продают только в Китае, но стоит ли расстраиваться из-за этого? Опубликованы первые тесты Ryzen 7 8700F и Ryzen 5 8400F Компания AMD недавно без лишнего шума выпустила процессоры Ryzen 7 8700F и Ryzen 5 8400F. Они вышли пока только для Китая. В Сети уже есть первые обзоры, поэтому мы теперь можем ознакомиться с ними.  Напомним, Ryzen 7 8700F и Ryzen 5 8400F представляют собой перев...

Intel избавится от Hyper-Threading, но это нестрашно? Процессоры Lunar Lake и без гиперпоточности будут в полтора раза быстрее Meteor Lake Процессоры Intel Lunar Lake будут лишены поддержки Hyper-Threading, согласно имеющейся сейчас информации. Несмотря на это, судя по свежим утечкам, они будут намного быстрее Meteor Lake.  Инсайдер Bionic_Squash утверждает, в многопоточном режиме работающий в режиме...

Всё готово, Ryzen 9000 можно выпускать. Некоторые системные платы на чипсетах 600-й серии уже поддерживают грядущие процессоры Похоже, запуск процессоров AMD Ryzen нового поколения действительно уже не за горами. Как минимум оказалось, что выпущенные раннее в этом месяце версии BIOS для ряда системных плат уже поддерживают грядущие CPU.  Это касается как минимум плат Asus и MSI на чипсета...

Мини-ПК ASUS ROG NUC оценили в 2500 евро Один из европейских ритейлеров назвал стоимость нового поколения игрового мини-ПК ASUS ROG NUC, представленного пару месяцев назад на выставке CES 2024. Итак, конфигурация с 16-ядерным 22-поточным процессором Intel Core Ultra 9 185H, графическим адаптером NVIDIA GeForce RTX ...

Чем на это будет отвечать Intel? В Сети засветились 55-ваттный мобильный APU AMD Strix Halo с огромным iGPU и 12-ядерный Strix Point Мобильные процессоры AMD поколения Strix Halo действительно могут выйти в этом году. По крайней мере они уже засветились в транспортных документах.  Модели не указаны, да и названий, скорее всего, пока просто нет, но есть указание на TDP 55 Вт. Это немало для моби...

Samsung Electronics ускоряет освоение 2-нм техпроцесса в ущерб 3-нм Перекуём мечи для аврала!

Появились характеристики чипа MediaTek Dimensity 8300 на техпроцессе 4 нм 8-ядерный Dimensity 8300 превзошёл Dimensity 8200-Ultra в Geekbench 6

Техпроцесс 1,8 нм Intel превосходит 2-нм техпроцесс TSMC По словам генерального директора Intel.

Представлен Vivo Y200i – батарея на 6000 мАч и дисплей с яркостью 1800 нит Vivo анонсировала третий смартфон из линейки Vivo Y200 – Vivo Y200i. Новинка получила процессор Snapdragon 4 Gen 2, аккумулятор ёмкостью 6000 мАч и работает под управлением Android 14. Дизайн и дисплейVivo Y200i обладает 6,72-дюймовым IPS-дисплеем с разрешением FullHD+…

Ядро Cortex-X5 сможет наконец-то догнать ядра в SoC Apple? Прирост производительности у Cortex-X5 будет самым большим за пять лет Следующее процессорное суперъядро Arm Cortex-X может оказаться настолько производительным, что догонит специальные реализации на основе архитектуры Arm.  создано DALL-E Отчёт исследовательской компании Moor Insights and Strategy утверждает, что прирост производите...

Новый процессор Snapdragon 8 Gen 4 мощнее Apple M2 для макбуков. Когда он выйдет и какие смартфоны его получат Хотя производители смартфонов продолжают выпускать флагманы на Snapdragon 8 Gen 3, уже во всю кипит подготовка к релизу Snapdragon 8 Gen 4 — нового процессора для топовых мобильных устройств. Выйдет он не завтра, но уже сейчас о нем известно практически все. По предваритель...

Apple уже готовит MacBook Pro нового поколения с чипом M4 В прошлом году Apple представила новое поколение MacBook Pro с чипом M3, который на днях появился в новом MacBook Air. При этом компания уже работает над новым MacBook Pro, оснащенным еще не анонсированным чипом M4. Эту новость сообщил Марк Гурман из Bloomberg, который ...

Core i9, дерево или его имитация и уже не компактные габариты. Игровой ПК Corsair One i500 засветился в Сети Компания Corsair готовится представить игровой ПК One i500. Устройство уже засветилось в Сети и оказалось существенно крупнее других моделей One. Объём вырос с 12 до 22 литров, так что назвать новинку мини-ПК уже нельзя.  При этом новинка, конечно, перешла на ново...

Intel предложит две линейки процессоров в одном сегменте. Lunar Lake-U будут соседствовать с Arrow Lake-U Компания Intel недавно выпустила линейку процессоров Meteor Lake, которые нацелены в первую очередь на энергоэффективность. В текущем году их частично заменят Lunar Lake, которые будут ещё больше ориентированы на низкое потребление. Теперь же стало известно, что всё же ...

Xiaomi 15 получит Snapdragon 8 Gen4 и продвинутые камеры: подробности нового флагмана Долгожданные Xiaomi 15 и 15 Pro обзавелись новыми слухами. По данным Digital Chat Station, известного технологического инсайдера, Xiaomi собирается оснастить устройства новейшей мобильной платформой Snapdragon 8 Gen4, использующей передовой 3-нм техпроцесс TSMC.

Получится ли у Qualcomm повторить успех Apple и изменить рынок ПК? Представлены SoC Snapdragon X Elite и X Plus для ноутбуков с Windows Компания Qualcomm представила свои однокристальные системы Snapdragon X для ноутбуков с Windows.   Вчерашняя утечка была верна: компания анонсировала пять моделей SoC, четыре из которых относятся к линейке X Elite и одна является частью линейки X Plus. Будут ли он...

Intel внедрит High-NA EUV в рамках техпроцесса 14A к 2027 году Первой среди контрактных производителей чипов.

Выход 4-нм продукции Samsung Foundry удваивается с 2022 года до 70% Производительность Samsung Foundry при 4-нм техпроцессе выросла до 70%

Новейшие Core Ultra, формально новые Core i и просто Core. Microsoft раскрыла названия неанонсированных процессоров Intel Новые, но лишь формально, процессоры Intel Core 14-го поколения будут представлены 8 января Компания Microsoft раскрыла все названия грядущих мобильных процессоров Intel до их официального анонса.   Новые CPU перечислены в списке поддерживаемых для обновления Win...

Следующий флагманский процессор Qualcomm будет самым необычным в ее истории Qualcomm подтвердила первые подробности о Snapdragon 8 Gen 4 на саммите Snapdragon Summit еще в октябре, сообщив, что флагманский мобильный чипсет впервые будет использовать пользовательские процессорные ядра Oryon. Теперь же на сайте Weibo утечка Digital Chat Station опубл...

Intel Lunar Lake на пути к запуску в третьем квартале: ИИ производительность GPU+NPU более 100 TOPS Процессоры станут основой для нового поколения компьютеров с искусственным интеллектом.

Samsung Galaxy S24 Ultra получит очень яркий экран, но экран у OnePlus 12 будет ещё ярче В Сети практически одновременно появились подробности об экранах смартфонов Samsung линейки Galaxy S24 и OnePlus 12. Судя по этим данным, по части яркости даже топовая модель Samsung не сможет превзойти новый флагман OnePlus. Как сообщил инсайдер, известный под ником R...

10-ядерный Core i7-12650H, 32 ГБ ОЗУ и SSD 1 ТБ — за 400 долларов. Представлена новая конфигурацию мини-компьютера Mechrevo Unbounded S mini У представленного ранее мини-ПК Mechrevo Unbounded S mini появилась новая конфигурация с 10-ядерным процессором Core i7-12650H. CPU не самый современный, но все равно довольно мощный — у него шесть высокопроизводительных ядер, поддержка выполнения 16 потоков и час...

Это три огромные ошибки и неудачи Intel. Глава компании рассказал о процессорах для смартфонов, GPU для ИИ и полупроводниковом бизнесе Глава Intel Пэт Гелсингер (Pat Gelsinger) рассказал о трёх больших ошибках и неудачах компании.  создано DALL-E Первой такой неудачей глава Intel назвал процессоры для смартфонов. Напомним, Intel какое-то время выпускала платформы Atom для смартфонов с Android, и ...

Представлен мини-ПК Colorful CMNH01-12450 Компания Colorful, которая известна по графическим адаптерам, объявила о выпуске своего первого мини-ПК CMNH01-12450. Новинка характеризуется 8-ядерным 12-поточным процессором Intel Core i7-12450H с максимальной частотой до 4,4 ГГц (вскоре будут доступны конфигурации на Core...

Новые процессоры Intel Xeon 5-го поколения "Emerald Rapids" созданы для ускорения ИИ Новые процессоры Intel Xeon 5-го поколения "Emerald Rapids" созданы с ускорением искусственного интеллекта в каждом ядре

Nvidia готовит урезанную GeForce RTX 3050 с 6 ГБ памяти и 96-битной шиной Пока Intel собирается наконец-то выпустить представленную более года назад видеокарту Arc A580, которая будет конкурировать с GeForce RTX 3050, Nvidia собирается обновить линейку RTX 30, представив самую дешёвую модель. Такой будет RTX 3050, но с 6 ГБ памяти.  RTX...

Samsung потеряла заказы на Snapdragon 8 Gen 4 По сообщениям западных инсайдеров компания Samsung потеряла свою эксклюзивную возможность сотрудничества с компанией Qualcomm для производства флагманского процессора Snapdragon 8 Gen 4 — этим будет заниматься компания TSMC. Тайваньский полупроводниковый гигант в следующем г...

Разборка Apple MacBook Air M3 выявила два чипа NAND в базовой конфигурации на 256 ГБ. На прошлой неделе компания Apple представила новое поколение субкомпактных ноутбуков MacBook Air

В прошлом квартале передовые техпроцессы обеспечили 67% выручки TSMC Это рекордная доля.

Появилось фото пластины Intel Xeon "Granite Rapids" Появилось фото пластины Intel Xeon "Granite Rapids" - это первый чип, построенный на базе техпроцесса Intel 3

Новый раскладной смартфон Tecno Phantom V2 Fold уже на подходе Компания Tecno, судя по всему, работает над преемником своей раскладной модели Phantom V Fold. Новинка, очевидно, получит название Tecno Phantom V2 Fold, ранее она была замечена в базе IMEI, а теперь засветилась и в бенчмарке Geekbench. Благодаря этому стали известны не...

TSMC представила 1,6 нм техпроцесс Тайваньская компания TSMC объявила о своих планах к 2026 году запустить производство 1,6-нм чипов. Новый техпроцесс получил название A16. Он существенно повышает плотность логики микросхем, также увеличивает производительность и скорость обработки данных.Читать дальше... Pr...

Пластины 2 нм подражают в полтора раза по сравнению с 3 нм Себестоимость производства пластин на новом техпроцессе увеличится

iPhone 16 будут оснащены 3-нм микросхемами A18 на базе техпроцесса N3E от TSMC Линейка 3-нм чипов TSMC для iPhone 16 запущена в серийное производство, что знаменует собой переход от производства N3B к производству N3E

Samsung представила первый 3-нм мобильный чип, разработанный с помощью ИИ Компания Samsung Electronics объявила о создании первого в мире мобильного процессора, изготовленного по передовому 3-нанометровому техпроцессу с использованием искусственного интеллекта.

Ноутбук Redmi G Pro 2024 готов к выходу Компания Xiaomi опубликовала официальный тизер ноутбука Redmi G Pro 2024, раскрывающий дату его презентации. Новый игровой лэптоп будет представлен в Китае 4 марта этого года. Ранее стало известно, что одна из конфигурации новинки будет включать 24-ядерный процессор Intel Co...

Чип Snapdragon 8 Gen 4 покорит частоту 4.0 ГГц Qualcomm Snapdragon 8 Gen 4 будет производиться по обновленному 3-нм техпроцессу TSMC, поэтому он, скорее всего, будет демонстрировать повышенную энергоэффективность по сравнению со Snapdragon 8 Gen 3. Именно эти улучшения эффективности могут позволить производительным ядрам...

А так ли нужны Китаю ускорители Nvidia? В Поднебесной насчитали около 20 альтернатив GPU Nvidia для ускорения ИИ Последние американские санкции запретили поставлять в Китай мощные ускорители Nvidia вроде A800 и H800, но так ли они нужны самому Китаю? Бесспорно, эти GPU очень мощные и прямого заменителя этих решений у Китая нет, но это и не значит, что нет никаких альтернатив. Нед...

Процессор AMD Threadripper PRO 7995WX возглавил рейтинг PassMark Эта серия процессоров имеет 128 линий PCIe 5.0, кэш-память L3 объемом 384 МБ и поддерживает 8-канальную память DDR5-5200.

2024 год Intel начала, выпустив современный процессор с двумя большими и четырьмя малыми ядрами. Core Ultra 5 115U стал самым медленным из Meteor Lake Ассортимент компании Intel незаметно пополнился новым процессором линейки Core Ultra. Core Ultra 5 115U стал самым младшим представителем Meteor Lake.  Core Ultra 5 115U отличается от всех остальных Meteor Lake-U количеством ядер. Напомним, несмотря на то, что изн...

Почему Helio G99 — до сих пор лучший процессор для недорогого Android-смартфона Жизнь мобильного процессора скоротечна. Так, флагманские чипы ежегодно сменяют друг друга, и место условного Snapdragon 8 Gen 2 уже через 12 месяцев занимает Snapdragon 8 Gen 3. Правда, есть исключения, одно из которых — процессор Helio G99. Этот чип появился в 2022 году, ч...

Новое оружие AMD на ближайшие год или более. Gigabyte подтвердила, что грядущие настольные процессоры будут называться Ryzen 9000 Компания Gigabyte подтвердила, что настольные процессоры Ryzen нового поколения будут называться Ryzen 9000.   Это прямо указано в описании очередного обновления BIOS для некоторых системных плат компании. Когда состоится анонс, неизвестно, но есть мнение, что на ...

Линейка APU AMD Zen 5 "Ryzen" будет состоять из чипов Strix, Kraken и Sonoma Конфигурации APU AMD Zen 5 "Ryzen" Mobility: Strix с 12 ядрами, Kraken с 8 ядрами, Sonoma с 4 ядрами

Игровой ноутбук MSI Stealth 14 Air готов к предзаказу в трех конфигурациях Процессор Intel Core i7-13620H 13-го поколения позволит также профессионалам работать с видео и графикой.

Такой будет основа для GeForce RTX 5090. GPU GB202 получит почти 25 000 ядер CUDA и 512-битную шину До выхода GeForce RTX 5090 ещё очень далеко, но постепенно информации об этой видеокарте появляется всё больше. Теперь вот очень надёжный инсайдер Kopite7kimi поделился некоторыми характеристиками GPU GB202, который ляжет в основу нового флагмана Nvidia.  Судя по ...

Просторный, 7-местный, гибридный и авангардный. Представлен флагманский кроссовер Peugeot 5008 нового поколения Состоялась премьера флагманского кроссовера Peugeot 5008 нового поколения. Создатели не стали мелочиться, и рассекретили одновременно и чисто электрическую версию, и гибридный вариант с ДВС. Все они поступят в продажу осенью текущего года. Внешне новый Peugeot 5008 схо...

TSMC анонсировала переход на 1,6-нм техпроцесс в 2026 году Новые нормы производства обеспечат 10-процентный прирост производительности и 20-процентное повышение энергоэффективности.

Intel включила техпроцесс класса 1-нм в планы на 2027 год А на предприятиях Intel планирует использовать роботов — коботов.

Техпроцесс TSMC 2 нм дебютирует в 2025 году с SoCs Apple для iPhone 17 Pro Техпроцесс TSMC 2 нм, получивший название N2, поступит в массовое производство только в 2025 году

TSMC анонсировала 1,6-нм техпроцесс с обратной подачей питания Продукты на его основе ожидают в 2027 году.

Четвёртый клиент Intel на техпроцесс 18A связан со сферой высокопроизводительных вычислений Имя его пока не раскрывается.

ASML начала снабжать Intel передовым оборудованием, которое позволит освоить техпроцессы тоньше 2 нм Но не ранее 2026 года.

Это последний Audi Q3 с ДВС. Появились качественные изображения новинки Компания Audi уже вывела на тесты третье поколение своего компактного кроссовера Audi Q3, а дизайне автомобильного издания «Колёса.ру» использовал шпионские снимки для создания качественных рендеров новинки. Кроссовер будет выполнен в том же стиле, что и др...

Intel, а производительность самих процессоров расти будет? Компания обещает большой прирост, но пока только для блоков искусственного интеллекта Производители процессоров уже стали акцентировать внимание не на производительности непосредственно процессорной части, а на блоках искусственного интеллекта. И дальше, видимо, акценты будут смещаться лишь сильнее. К примеру, глава Intel заявил, что CPU линейки Panther ...

Новые процессоры будут ещё более горячими. AMD говорит, что более тонкие техпроцессы усугубят ситуацию AMD пока не готова провернуть с мобильным CPU тот же фокус, что в своё время с настольными. Чиплетных дешёвых мобильных APU пока не будет Современные процессоры и у AMD, и у Intel весьма горячие. Однако если в случае Intel речь идёт при этом и о высоком энергопотреблен...

HP представил ноутбук нового поколения Spectre X360 на базе процессоров серии Intel Core Ultra Эти ноутбуки «два в одном» будут оснащаться процессорами Core 7 155H или Core 3 125H.

Первый не флагман с камерой Leica и первая в мире модель на Snapdragon 8s Gen 3. Новейший Xiaomi Civi 4 Pro поступает в продажу в Китае По данным Xiaomi Mall, новый смартфон Xiaomi Civi 4 Pro официально поступает в продажу в Китае по стартовой цене 415 долларов. Стоит отметить, что на момент публикации заметки в Пекине уже наступило 26 марта. Впервые в смартфоне данной серии установлена оптическая систе...

Google представила свой новый процессор Axion, и это снова Arm Компания Google представила свой собственный процессор под названием Axion. Название, видимо, давно в честь аксионов — гипотетических элементарных частиц, которые, кроме прочего, могут составлять тёмную материю.  Google Axion создан на основе архитектуры Arm...

Intel готовит процессоры Xeon W-2500 с 4-канальной памятью Грядущие процессоры должны конкурировать с чипами Threadripper 7000 от AMD

Intel собирается окончательно всех запутать? Нас ждут процессоры Core Ultra, в строю останутся Core i, а будут ещё и просто Core 14 декабря компания Intel представит мобильные процессоры Meteor Lake, которые будут называться Core Ultra. Также нас ждут привычные в плане названия Core ix 14xxxHX — это будут высокопроизводительные Raptor Lake Refresh. Но кроме них будут ещё и обычные Core. И б...

Обзор Протокола ISO-TP [ISO 15765-2] Как известно канальные CAN пакеты могут быть размером максимум 8 байт. Одновременно с этим, с более высоких уровней модели OSI могут поступить запросы передать огромные пакеты  [ jumbo frame(ы) ]. Как же разрешить это противоречие? Эту ситуацию призван распетлять проток...

Самый дешевый смартфон Samsung с One UI 6.0 из коробки. Представлен Samsung Galaxy A15 Сегодня Samsung официально представила бюджетный смартфон Galaxy A15. Новинка предложена в двух версиях: с LTE и 5G. Соответственно, первая построена на SoC MediaTek Helio G99, а вторая базируется на SoC MediaTek Dimensity 6100+. В плане всего остального эти версии не и...

Дебют процессоров Qualcomm Snapdragon X для ПК состоится 24 апреля Линейка Snapdragon X будет представлена в различных конфигурациях от 4 до 12 ядер.

TSMC начнёт выпуск 1,6-нм чипов в 2026 году Компания Taiwan Semiconductor Manufacturing (TSMC), крупнейший контрактный производитель чипов и ключевой поставщик Nvidia и Apple, намерена начать производство 1,6-нанометровых процессоров во второй половине 2026 года. TSMC представила свою новую технологию A16 на конф...

Новые процессоры Intel собираются бороться с Ryzen 9000 без поддержки Hyper-Threading. Новые данные подтверждают её отсутствие у Arrow Lake Пока AMD, по слухам, нарастит производительность процессоров Ryzen 9000 относительно текущих CPU на 40-50%, Intel действительно собирается лишить свои процессоры поддержки Hyper-Threading. На это указывают свежие данные.  Две модели линейки Arrow Lake-S были замеч...

GeForce RTX 3050 8G снимают с производства, но уже в январе выйдет новая версия Версия GeForce RTX 3050 с 8 ГБ памяти снимается с производства, а уже в январе следующего года ожидается выпуск новой версии GeForce RTX 3050 с 6 ГБ памяти. Об этом пишет IT Home со ссылкой на китайский источник Bobandang. Bobandang подтверждает, что новая настольная ви...

Ядер меньше, и кэша тоже меньше. Представлен 16-ядерный Core i7-14790F Black Edition, который вряд ли можно будет купить У Intel появился новый процессор версии Black Edition – 16-ядерный Core i7-14790F. Подход к его созданию довольно оригинальный: у новинки меньше ядер, чем у Core i7-14700F. И кэша тоже меньше. Core i7-14790F имеет 8 больших ядер (P-Cores) и 8 энергоэффективных (8...

TSMC вряд ли справится со спросом на 3-нм техпроцесс в следующем году Хотя и увеличит объёмы выпуска такой продукции.

В DigiTimes ожидают серию AI-чипов NVIDIA GB100 на базе 3-нм техпроцесса TSMC в 4 квартале 2024 года NVIDIA стремится завладеть преимуществом в бизнесе ИИ.

Обновлённые ускорители вычислений AMD Instinct MI350 могут использовать 4-нм техпроцесс Текущая линейка основана на 5-нм.

MacBook на M3 до следующего года можно не ждать MacBook Pro и MacBook Air на базе M3 станут первыми макбуками с чипами, изготовленными по 3-нм техпроцессу

TSMC может отложить 2 нм процесс По информации тайваньскиого издания TechNews.tw, компания TSMC может отложить внедрение техпроцесса 2 нм до 2026 года.

AMD не боится конкуренции с Intel, даже если та достигнет технологического паритета с TSMC Техпроцесс не определяет всё в этом противостоянии.

DigiTimes: В следующем году TSMC начнет массовое внедрение 2 нм техпроцесса GAAFET-транзисторы придут на смену FinFET

Первый тест процессора AMD с большими и малыми ядрами. Ryzen 3 7440U в однопоточном режиме быстрее настольного Core i3-13100F В Сети появились первые результаты тестирования процессора Ryzen 3 7440U. В данном случае это интересно по той причине, что Ryzen 3 7440U относится к линейке Phoenix 2, в отличие от других Ryzen 7040U.   Этот APU был представлен вместе со старшими моделями ещё в м...

Китайская SMIC отстаёт от TSMC и Samsung всего на четыре года, хотя санкции США должны удерживать разрыв в 10 лет Китайская компания SMIC за последнее время стала намного более известной благодаря тому, что, как оказалось, освоила техпроцесс 7 нм и успешно выпустила на нём SoC Kirin 9000s для новых флагманских смартфонов Huawei. Свежий отчёт говорит о том, что SMIC отстаёт от лидер...

Core Ultra 5 238V — это четыре больших ядра, четыре малых и 32 ГБ собственной ОЗУ. В Сети появились данные об этом процессоре В Сети появились данные о ещё одном процессоре Intel Lunar Lake-MX. Он называется Core Ultra 5 238V.  Ранее мы уже слышали о Core Ultra 5 234V, и похоже, что это очень схожие CPU. Если точнее, сами процессоры вообще идентичные, но младший оснащается 16 ГБ ОЗУ, а с...

Qualcomm анонсировала процесоры Snapdragon X для устройств с Windows on ARM Qualcomm анонсировала новое поколение десктопных ARM-процессоров под названием Snapdragon X. Известно, что они будут основаны на ядрах Oryon от компании Nuvia, которую Qualcomm приобрела в 2021 году. Интересный факт — Nuvia основана бывшими инженерами Apple, работавшими над...

Серверные процессоры AMD EPYC Turin будут иметь 192 ядра Zen 5c AMD EPYC Turin также может иметь до 128 стандартных ядер Zen 5

Представлен первый ПК на основе процессоров AMD Ryzen 8000. Планшет Minisforum V3 будет очень большим Несмотря на то, что до официального анонса процессоров Ryzen 8000 ещё явно минимум несколько месяцев, компания Minisforum уже представила первый продукт на основе таких CPU.  Речь о планшете, который пока что называется Minisforum V3, но это вряд ли окончательное ...

Мини-ПК Machenike Creator Mini II получил сменную крышку Компания Machenike представила мини-ПК Creator Mini II, который получил выполненный из экологически чистых материалов корпус объёмом 0,7 л и сменную верхнюю панель. Новинка также характеризуется 12-ядерным 16-поточным процессором AMD Ryzen R7 7840H с тактовой частотой до 5....

Представлен 85-дюймовый телевизор Huawei Smart Screen V5 с уникальным пультом Компания Huawei представила новейший умный телевизор Huawei Smart Screen V5, который получил экран SuperMiniLED диагональю 85 дюймов. Он имеет 576 разделов подсветки, пиковую яркость до 1600 кд/м2. Huawei Smart Screen V5 поддерживает масштабирование от 1080p до 4K. Для...

Основное оружие AMD против Intel в 2024 году. Процессоры на основе архитектуры Zen 5 уже замечены за пределами лаборатории компании Похоже, процессоры AMD на основе архитектуры Zen 5 уже не просто выпущены, а уже покинули стены лаборатории компании. Как минимум некоторые из них были замечены в товарных декларациях.  В данном случае речь о процессорах Strix Point и Fire Range. Особых подробност...

Intel опубликовала видео с распаковкой ASML Twinscan EXE:5000 High-NA EUV Данная машина способна создавать продукты по 8-нанометровому техпроцессу

Samsung переименовала свой 3-нм техпроцесс в SF2 для конкуренции с Intel Интересно, что реально никаких технических изменений не произойдет, сменится лишь название с SF3 на SF2

Samsung смогла достичь уровня выхода годных чипов по 4-нм техпроцессу свыше 70% И это позволяет ей соперничать за заказы с компанией TSMC.

TSMC рассчитывает утроить выручку от 3-нм техпроцесса по итогам текущего года И наладить выпуск 2-нм продукции в следующем году.

Востребованность старых техпроцессов в этом полугодии снижается Казалось бы, дефицит миновал, но на передний план выходят другие проблемы.

Samsung переименует 3 нм техпроцесс в 2 нм На фоне растущей конкуренции в производстве самых передовых чипов компания Samsung Foundry решила провести ребрендинг.

Microsoft объединяется с Intel для разработки чипов по техпроцессу 18A На конференции ifs direct connect 2024, организованной Intel, генеральный директор Microsoft Наделла объявил планы компании.

Несмотря на все американские санкции китайская SMIC уже готовится к освоению 3-нм техпроцесса Однако некоторые отраслевые эксперты сомневаются в её возможностях.

AMD разработала новую архитектуру Zen 5 на 3-нм техпроцессе TSMC AMD готовится к запуску своей новой архитектуры "Zen 5" на 3-нм техпроцессе TSMC, разрабатываемой под кодовым названием "Nirvana". Эта разработка, сфокусированная на усилении развития искусственного интеллекта в терминалах, охватывает области, такие как настольные компьютеры...

Процессор Itanium и архитектура IA-64 окончательно забыты: в ядре Linux 6.7 их код удаляют. Что пошло не так с Itanium? На днях появилась новость о том, что из ядра Linux 6.7 полностью уберут код, который связан с архитектурой IA-64 и процессорами Intel Itanium. При этом Линус Торвальдс еще два года назад назвал процессоры Intel Itanium «потерянными» для ядра Linux. При этом в свое время Ita...

Совершенно новый Haval H6 впервые показали внутри: свежий дизайн и огромный экран После публикации фотографий экстерьера Haval Motors опубликовала официальные фотографии интерьера Haval H6 нового поколения, который демонстрируют новый дизайн, огромный центральный экран и ЖК-панель приборов. Центральная консоль и приборная панель имеют подвесную конс...

Новый ИИ-процессор Nvidia Blackwell будет стоить как квартира Приготовьтесь выложить кругленькую сумму за новейшие вычислительные мощности для искусственного интеллекта. Генеральный директор Nvidia Дженсен Хуанг недавно сообщил, что чип следующего поколения под кодовым названием Blackwell будет стоить от 30 000 до 40 000 долларов (3690...

Новый процессор Apple M3 — реальный апгрейд или маркетинговый трюк? Сравнение с M2 и M1 Apple наконец провела свое очередное мероприятие, для которого было выбрано довольно непривычное время суток. Проснуться посреди ночи, живя в России, действительно стоило: купертиновцы показали не только компьютеры, но и линейку процессоров M3, состоящую из трех новых чипсе...

Samsung подписала многолетний контракт с Qualcomm о поставке чипов Snapdragon Процессор Snapdragon 8 Gen 4 станет первым, в котором вместо ядра Cortex холдинга Arm будет использоваться ядро Qualcomm

AMD готовит к выходу процессоры EPYC 4004 для сокета AM5 Новая линейка процессоров будет похожа на потребительские чипы и получит до 16 ядер

Apple планирует значительно увеличить производительность ядер Neural Engine в новом процессоре A18 В iPhone 16 значительно улучшат ядра Neural Engine

Вышел в продажу ASUS ROG Strix G18 – первый ноутбук на Intel 14-го поколения В продаже появился первый ноутбук на базе процессора Intel Core i9 14-го поколения ASUS ROG Strix G18, который был анонсирован на выставке CES 2024. ОсобенностиASUS ROG Strix G18 обладает 18-дюймовым IPS-дисплеем с разрешением 2560×1600 пикселей, частотой обновления…

Почти все флагманы 2024 года будут построены на этой SoC. Представлена Snapdragon 8 Gen 3, первый смартфон на ее базе – Xiaomi 14 Qualcomm официально представила флагманскую однокристальную систему Snapdragon 8 Gen 3. Эта платформа будет использоваться в Xiaomi 14 и Xiaomi 14 Pro, IQOO 12, Samsung Galaxy S24 Ultra, Honor Magic6 Pro и многих других флагманах 2024 года.   Процессор SoC разделе...

Один из самых популярных автомобилей в Китае переходит в новое поколение: представлен Changan CS75 Plus 2024 Changan CS75 Plus нового поколения был представлен при помощи публикации материалов китайского Минпромторга. Это уже четвертое поколение данной модели. Changan CS75 Plus – один из самых популярных автомобилей на рынке Китая. В прошлом году он попал в топ-10 самых ...

Intel хочет внедрить 1 нм процесс в 2027 году Компания Intel сообщила о своих планах по внедрению техпроцесса 10A, эквивалента 1 нанометру, к концу 2027 года.

Samsung Foundry всеми силами старается заполучить заказы на следующие GPU Nvidia Samsung предпринимает все возможные действия для заключения контракта с Nvidia на техпроцесс 3 нм

TSMC выжила в условиях спада отрасли благодаря росту производства чипов по 3-нм техпроцессу Поставки 3-нм пластин составили 15% доходов компании.

Samsung Galaxy Book4 Ultra: главный противник MacBook Pro с мощным процессором Intel Samsung повышает ставки на поле битвы ноутбуков, представив свою новейшую модель Galaxy Book4 Ultra, которая должна составить конкуренцию последним MacBook Pro. Этот преемник Galaxy Book3 Ultra четвертого поколения оснащен современными компонентами, включая процессоры Intel ...

Huawei продала 30 миллионов Mate 60 за полгода Релиз серии смартфонов Mate 60 можно назвать условным началом восстановления позиций компании Huawei на локальном рынке Китае, и хотя у компании ещё предстоит долгий путь к её прежним глобальным продажам, её будущие релизы смартфонов имеют все шансы на головокружительный усп...

Intel Core 14-го поколения разрешили работать при 115°C на «материнках» MSI MSI решает проблему с будущим нагревом процессоров Intel 14-го поколения, представив новую функцию BIOS под названием «CPU Fast Throttle Threshold» для своих материнских плат Z790 и Z690. Грядущие процессоры с более высокими тактовыми частотами и предельной мощностью, как ож...

Геймерские процессоры серии Ryzen 9000X3D выйдут в 2025 году на новой архитектуре Zen 5 3D V-Cache Серия Ryzen 9000X3D получит обновлённую технологию Infinity Fabric третьего поколения

У Intel всё же пока не получилось одолеть AMD. Сравнение двух версий приставки MSI Claw и двух версий Asus ROG Ally расставляет всё по местам Авторы канала Retro Tech Dad решили сравнить две версии портативной игровой приставки MSI Claw на разных процессорах и две версии Asus ROG Ally тоже на разных APU. Результаты получились достаточно интересными, причём сразу по нескольким причинам.  Напомним. MSI Cl...

Редизайн корпуса, переход на экраны 16 : 10 и USB4 в версия на процессорах AMD. Lenovo представила новые поколение дешевых ThinkPad L — ThinkPad L14 G5 и ThinkPad L16 G1 Lenovo обновила свои самые доступные бизнес-ноутбуки — линейку ThinkPad L. Новая модель — ThinkPad L14 G5 — перешла на процессоры AMD Ryzen 7035 и Intel Core Ultra, а также получила новый корпус, так как Lenovo начала использовать экраны с соотношением...

Qualcomm уже тестирует процессор Snapdragon 8 Gen 4 Новый мобильный процессор Snapdragon 8 Gen 4, по информации инсайдеров, станет первым процессором от компании Qualcomm, который не будет использовать микроархитектуру процессорных ядер ARM и перейдёт на специальные ядра Oryon, которые внутри компании получили кодовое имя Pho...

Apple первой получит чипы, изготовленные по 2-нм техпроцессору TSMC Apple станет первым производителем электроники, который получит чипы, изготовленные TSMC по 2-нанометровому техпроцессу. Так TSMC должна начать производство 2-нм чипов во второй половине 2025 года. Новый техпроцесс позволит интегрировать в чип больше транзисторов, тем самым…

AMD продолжит наступать на Intel там, где у последней нет ответа. К выходу готовятся игровые процессоры Ryzen 7 5700X3D и Ryzen 5 5500X3D Компания AMD собирается расширить линейку процессоров Ryzen X3D, имеющихся дополнительную микросхему кеш-памяти. Причём расширить за счёт моделей Ryzen 5000.  Согласно свежим данным, к изначальному вышедшему Ryzen 7 5800X3D и эксклюзивному для американской сети Mi...

Тайваньский полупроводниковый гигант TSMC планирует нанять в этом году 6000 сотрудников Компания выпускает чипы по самым передовым техпроцессам на планете

GlobalFoundries попала в ловушку старых техпроцессов и начала терять заказы клиентов По этой причине она теперь сконцентрируется на автомобильном сегменте.

Представлен обновленный Raptor Lake Core 14 Intel раскрывает подробности о настольных процессорах Core 14-го поколения и уже сегодня поступили в продажу новейшие процессоры Intel для настольных ПК.

BenQ представила геймерский проектор X3100i Сегодня компания BenQ официально представила новый флагманский игровой проектор 4K HDR из серии X-series. Новый проектор BenQ X3100i способен создавать игровые «дисплеи» диагональю до 150 дюймов и обладает впечатляющими характеристиками. Например, помимо поддержки 4K и HDR, ...

Volkswagen T-Roc 2025 впервые засняли на фото. Это будет последняя новая модель Volkswagen с бензиновыми и дизельными моторами Кроссовер Volkswagen T-Roc второго поколения впервые засветился на тестах вживую. В апреле 2023 года Volkswagen сообщила, что новый T-Roc станет последней новой моделью автопроизводителя с двигателями внутреннего сгорания — последующие новинки будут чистыми электр...

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)