Социальные сети Рунета
Вторник, 7 мая 2024

В TSMC ожидают, что большинство клиентов техпроцесса N7 перейдут на техпроцесс N6 Как мы уже сообщали, компания TSMC в апреле представила техпроцесс N6. В нем применяется литография в жестком ультрафиолетовом диапазоне (EUV) и нормы 6 нм. По сравнению с техпроцессом N7, которым предусмотрено применение норм 7 нм, новый техпроцесс обеспечивает повышен...

На следующей неделе Samsung опубликует план освоения технологических норм 3 нм Компания TSMC является крупнейшим контрактным производителем полупроводниковой продукции, но это не знает, что она не испытывает конкуренции в освоении передовых техпроцессов.   Освоив серийный выпуск продукции по нормам 7 нм, в середине апреля тайваньский произво...

TSMC представила техпроцесс 6 нм Компания TSMC представила свой новый техпроцесс — 6 нм (N6). Используя технологию EUV (литография в жестком ультрафиолетовом диапазоне), производитель смог обеспечить повышение плотности расположения транзисторов в кремнии на 18% относительно семинанометрового тех...

Уже через два года Samsung начнёт производство полупроводниковой продукции по техпроцессу 3 нм Как сообщает источник, компания Samsung рассказала о планах на развитие своих полупроводниковых технологий. Уже в 2021 году компания намерена начать производство продукции с использованием трёхнанометрового техпроцесса с технологией GAAFET (Gate All Around FET). Технол...

Huawei Kirin 985 может стать первой однокристальной системой, изготовленной с использованием EUV Компания Huawei, являющаяся крупнейшим производителем телекоммуникационного оборудования, в прошлом году быстро вошла в число ключевых фигур на рынке смартфонов. Она задала тенденцию оснащения смартфонов строенными камерами, а скоро, вероятно, подаст пример и в другой о...

TSMC начинает крупномасштабные поставки продукции, изготовленной с использованием техпроцесса N7+ Компания TSMC начала эту неделю с объявления о начале крупномасштабных поставок продукции, изготовленной с использованием техпроцесса N7+. Техпроцесс N7+ стал первой в отрасли коммерчески доступной технологией литографии в жестком ультрафиолетовом диапазоне (EUV). Он ос...

У Samsung готов 5-нанометровый техпроцесс FinFET EUV Компания Samsung Electronics объявила о завершении разработки 5-нанометрового техпроцесса FinFET EUV. Производитель готов принимать пробные заказы, рассчитанные на эту технологию. По сравнению с 7-нанометровым техпроцессом, новый обеспечивает повышение плотности компон...

Samsung анонсировала прорыв в разработке 3-нм технологии и рассказала о планах освоения новых норм техпроцесса На конференции Samsung Foundry Forum 2019 компания Samsung Electronics, которая в этом году отмечает свое 50-летие, объявила о планах по дальнейшему развитию технологических процессов полупроводникового производства. В частности, представители южнокорейского гиганта отметили...

Samsung готовится к производству чипов на основе 3-нм ... Последний финансовый отчет Samsung показал, что прибыль компании резко упадет в этом квартале, снизившись на 9% в годовом исчислении и на 38,5% по сравнению с предыдущим кварталом. Основной причиной резкого снижения прибыли является вялый бизнес смартфонов компании и общее с...

Процессоры AMD в 2020 году перейдут на технологические нормы 7nm+ Уже через несколько месяцев на рынок выйдут потребительские процессоры AMD, основанные на архитектуре Zen 2 и производящиеся по семинанометровому техпроцессу. Как известно, следом за ними нас ждут CPU на архитектуре Zen 3, и вот сегодня о них появились первые подробност...

Huawei договорилась с TSMC о создании чипов Kirin 990 Последние несколько лет тайваньский чипмейкер TSMC помогает в создании новых процессоров для флагманских смартфонов Huawei. Так, совместно с TSMC китайцы освоили выпуск мобильных чипов по 16-нм, 10-нм и 7-нм технологиям: Kirin 960, Kirin 970 и Kirin 980. Этот выбор не случае...

Intel готовится к переходу на 7-нм техпроцесс EUV Дефицит продукции на 14-нм техпроцессе и проблемы с принятием 10-нм технологий не заставят Intel отказаться от развития 7-нм технологического процесса. И недавно стало известно о планах Intel по расширению возможностей своего завода D1X в Орегоне. Подробнее об этом читайте н...

TSMC окончательно внедрила улучшенный 7-нм техпроцесс Контрактный производитель Taiwan Semiconductor Manufacturing Company объявил о начале массового производства полупроводниковых пластин по улучшенному 7-нм техпроцессу (7-нм+ или N7+) с использованием экстремальной ультрафиолетовой литографии (EUV). Также было сказано, что на...

Первым заказчиком 7-нм EUV-чипов у TSMC станет Huawei На вопрос о том, какая из компаний первой получит шанс воспользоваться преимуществами 7-нм техпроцесса TSMC, в котором будет применять литография в жёстком ультрафиолете, кажется, есть окончательный ответ. И, несмотря на все ожидания, первопроходцем в данном случае ...

Samsung уже через два года намерена начать производство 3-нанометровой продукции с использованием транзисторов GAAFET Казалось бы, только недавно Samsung сообщила о начале серийного производства 7-нанометровой продукции с использованием EUV-литографии (технология 7LPP), ознаменовав начало новой эпохи в полупроводниковой отрасли. Массовый выпуск различной продукции по нормам техпроцесса 7LPP...

TSMC завершила разработку 5-нм техпроцесса — началось рисковое производство Тайваньская полупроводниковая кузница TSMC сообщила о полном завершении разработки инфраструктуры проектирования для 5-нм техпроцесса в рамках Open Innovation Platform, включая технологические файлы и комплекты для проектирования. Техпроцесс прошёл множество тестов надёжност...

Специалисты AMD завершили разработку микроархитектуры Zen 3 и уже взялись за Zen 4 Представляя процессоры EPYC второго поколения, в которых используется микроархитектура Zen 2, компания AMD сообщила о завершении фазы разработки микроархитектуры следующего поколения — Zen 3. В настоящее время специалисты компании уже взялись за ее преемницу, Zen ...

Galaxy S11 может базироваться на 5-нм SoC. Samsung рассекретила 3-нанометровую технологию Компания Samsung Electronics раскрыла подробности о своих планах по разработке будущих мобильных процессорных технологий. Она объявила, что 3-нанометровый процесс 3GAE (3 нм Gate-All-Around)  версии 0,1 уже готов.  Технология GAA (Gate All Around) находится в...

Macronix уже планирует рисковое производство 96-слойной флэш-памяти 3D NAND Тайваньская компания Macronix International, специализирующаяся на выпуске энергонезависимой памяти, ведет исследования и разработки в области технологий 3D NAND, рассчитывая создать 48- и 96-слойные техпроцессы для выпуска микросхем плотностью 128 и 256 Гбит. Об этом с...

TSMС наладит массовое производство по улучшенным 7-нм нормам в марте Как сообщает издание DigiTimes со ссылкой на отраслевые источники, тайваньский контрактный производитель TSMC готов приступить к массовому выпуску полупроводниковой продукции по улучшенному 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV) уже в ...

SK Hynix подготовилась к производству памяти типа DDR4 по третьему поколению 10-нм техпроцесса Даже в условиях низких цен на память производители не перестают осваивать новые техпроцессы, поскольку они позволяют им сократить собственные издержки. В августе Micron сообщила о начале массового производства 16-гигабитных микросхем памяти типа DDR4 с использованием третьег...

Intel: 7-нм техпроцесс будет готов в течение двух лет Как известно у Intel возникли трудности с переходом на 10-нм техпроцесс, что стало серьезной проблемой для компании. С выпуском 14-нм техпроцесса Intel явно опережала своих конкурентов, но теперь TSMC и Samsung догнали компанию. Подробнее об этом читайте на THG.ru.

Globalfoundries и SiFive работают над интеграцией памяти HBM2E в однокристальные системы, изготавливаемые с применение техпроцесса 12LP+ Компании Globalfoundries и SiFive объявили, что разрабатывают решение, которое позволит оснащать высокоскоростной памятью HBM2E однокристальные системы, рассчитанные на выпуск с применением недавно представленного Globalfoundries техпроцесса 12LP+. Этот техпроцесс постр...

TSMC уже приступает к строительству 3-нм фабрики Тайваньская полупроводниковая кузница TSMC, которая сегодня является лидером в области контрактного производства чипов, весьма агрессивна в деле освоения передовых литографических норм. В настоящее время объёмы её инвестиций в исследования и разработки соответствуют или даже...

TSMC уже готовится к выпуску процессоров Apple A14 по 5-нм техпроцессу Компания TSMC анонсировала запуск инфраструктуры для производства чипов по нормам 5-нанометрового технологического процесса. Отмечается, что благодаря переходу на более тонкий техпроцесс удастся обеспечить прирост производительности и повышение энергоэффективности. Как отмеч...

TSMC: переход от 7 нм к 5 нм повышает плотность размещения транзисторов на 80 % Компания TSMC на этой неделе уже анонсировала освоение новой ступени литографических технологий, получившей условное обозначение N6. В пресс-релизе сообщалось, что данная ступень литографии будет доведена до стадии рискового производства к первому кварталу 2020 года, но толь...

Смартфоны Apple iPhone тоже получат SoC, изготовленную по технологии EUV В смартфоне iPhone 2018 года используется однокристальная система A12, изготавливаемая по нормам 7 нм компанией TSMC. В этом году контрактный производитель полупроводниковой продукции начнет выпуск микросхем по нормам 7 нм, но с применением литографии в жестком ультрафи...

Видеоядра Nvidia Ampere будет производить Samsung по 7-нм EUV-техпроцессу Выпуск графических процессоров Nvidia следующего поколения будет осуществляться на фабриках Samsung Electronics по 7-нм техпроцессу с применением ультрафиолетовой (EUV) литографии. Данную информацию тайваньское веб-издание DigiTimes получило от рыночных источников. Более тог...

Samsung планирует начать массовое производство по 3-нм техпроцессу в 2021 году Компания Samsung объявила о том, что она планирует начать массовое производство полупроводниковой продукции по трёхнанометровому технологическому процессу GAAFET (Gate-All-Around Field-Effect Transistors) уже в 2021 году. Samsung и другие производители ведут разрабо...

Кроме Мура — кто еще формулировал законы масштабирования вычислительных систем Говорим о двух правилах, которые также начинают терять актуальность. / фото Laura Ockel Unsplash Закон Мура был сформулирован более пятидесяти лет назад. На протяжении всего этого времени он по большей части оставался справедливым. Даже сегодня при переходе от одного техпр...

Samsung приступит к массовому 5-нм производству уже в 2020 году На рынке существует лишь 5 мобильных однокристальных систем, печатаемых по 7-нм нормам. Две из них выпускает Huawei, одну — Qualcomm (TSMC), одну — Apple и ещё одну Samsung. Другими словами, говорить пока о широком распространении технологии ещё рановато, тем более что 4 чип...

Тайваньская TSMC идет к техпроцессу 3 нм, держа на прицеле 1 нм В настоящее время TSMC ведет работы над техпроцессом 5 нм, который вступит в строй в 2020 году. После этого инженеры сосредоточатся на НИОКР в сфере техпроцесса 3 нм (вступит в строй в 2022 году).

Intel рассчитывает догнать 5-нм решения конкурентов при помощи своего 7-нм техпроцесса За первую половину этого года компания Intel потратила около $6,9 млрд на освоение 10-нм техпроцесса и подготовку к переходу на 7-нм техпроцесс. Последний позволит ей к 2021 году вернуть «на прежние рельсы» так называемый «закон Мура» — эмпирическое правило, определяющее пер...

Huawei приступила к производству 5-нанометровой платформы Kirin 1000, первыми моделями на ее базе станут Mate 40 и Mate 40 Pro 6 сентября компания Huawei официально рассекретила свою флагманскую однокристальную платформу Kirin 990, а первыми смартфонами на ее базе стал Mate 30 и Mate 30 Pro. Но разработчики не стоят на месте: как пишет источник со ссылкой на отраслевых наблюдателей, флагманская...

SK Hynix определилась со сроками внедрения EUV-литографии при производстве памяти О перспективах использования литографии со сверхжёстким ультрафиолетовым излучением (EUV) при производстве микросхем памяти чаще всего приходится рассуждать в контексте планов Micron. Точнее говоря, этот производитель является противником скорого применения EUV-литографии дл...

Производством 5-нанометровой платформы Snapdragon 875 займется TSMC  Как пишет источник, компания Qualcomm рассматривает компанию TSMC в качестве партнера, на мощностях которого будет производиться флагманская однокристальная платформа Snapdragon 875. Qualcomm в числе производственных партнеров своих флагманских SoC имеет две комп...

У Samsung готова 7-нанометровая технология EUV Компания TSMC первой среди производителей полупроводниковой продукции освоила выпуск продукции по нормам 7 нм. И хотя формально это 7-нанометровая продукция, ее характеристики они находятся на тех же уровнях, которые ожидаются от 10-нанометровой продукции Intel. В компа...

Samsung планирует предложить разработчикам микросхем для автомобильной электроники 8-нанометровый техпроцесс Компания Samsung Electronics на форуме Samsung Foundry Forum (SFF) 2019 в Мюнхене представила передовые решения в области контрактного производства полупроводниковой продукции. В мероприятии приняли участие более 200 отраслевых экспертов из компаний, не располагающих со...

Samsung готовится заменить техпроцесс 7-нм FinFET на 3-нм MBCFET Он позволит уменьшить площадь кристалла на 45%, энергопотребление на 50% и увеличить производительность до 30%

Samsung через два года выпустит чипы, изготовленные по 3-нм техпроцессу После недавнего начала серийного выпуска 7-нм чипов Samsung по технологии 7LPP (EUV-литографии), массовый выпуск которых намечен на вторую половину 2019 года, стало известно о планах по запуску в 2021 году серийного производства 3-нм чипов с новой архитектурой GAAFET…

Китайская SMIC начала рисковую 14-нм печать FinFET, а коммерческую запустит к концу года Ожидалось, что SMIC, крупнейший контрактный производитель полупроводниковых чипов в Китае, приступит к массовому 14-нм производству чипов FinFET до середины текущего года. Но компания сообщила, что пока приступила только к рисковому производству и начнёт коммерческое на свои...

Крупнейший китайский полупроводниковый производитель готов начать производство продукции по техпроцессу 14 нм Компания SMIC — крупнейший китайский полупроводниковый производитель — собирается начать производство продукции с использованием 14-нанометровой продукции до конца текущего полугодия. Мало того, что само по себе это весьма значимое достижение, так ещё и сам...

На замену LGA3647. Разъём LGA4677 для следующего поколения серверных процессоров Intel (Sapphire Rapids) уже готов Компания Intel завершила разработку разъёма для будущих серверных процессоров линейки Xeon Scalable следующего поколения, известных под кодовым названием Sapphire Rapids. Сокет LGA4677 придёт на смену нынешнему разъёму LGA3647 в 2021 году. К этому времени Intel планирует пер...

TSMC потратит $6,6 млрд на модернизацию и расширение производства в I квартале 2020 года Совет директоров Тайваньской полупроводниковой производственной компании (TSMC) утвердил ассигнования в размере $6,62 млрд для строительства новых производственных линий, модернизации передовых упаковочных мощностей, установки специализированных агрегатов, а также на исследо...

GlobalFoundries анонсировала улучшенный 12-нм FinFET-техпроцесс В прошлом году GlobalFoundries сообщила о прекращении работ по внедрению 7-нанометровых технологических норм, отдав предпочтение совершенствованию 12-нм и 14-нм FinFET техпроцессов. Результатом этих работ стал улучшенный техпроцесс 12LP+, который был представлен вчера на...

Intel утверждает, что её 7-нм техпроцесс будет лучше 5-нм техпроцесса конкурентов И закон Мура вернётся в прежнее русло к 2021 году.

Intel начала активно закупать оборудование и материалы для EUV-литографии в августе О своих намерениях внедрить литографию со сверхжёстким ультрафиолетовым излучением (EUV) в рамках 7-нм технологии представители Intel публично заявляли не раз, и вряд ли наличием таких планов у процессорного гиганта кого-то можно удивить. Не так давно вице-президент Intel по...

Графические ядра Nvidia Ampere дебютируют в первой половине 2020 года Сегодня в недрах лабораторий Nvidia ведётся работа над следующим поколением графических процессоров, известных под именем Ampere. Для производства новых GPU «зелёные» планируют использовать 7-нм техпроцесс на базе ультрафиолетовой (EUV) литографии и, как мы...

В следующем году Samsung выпустит еще более мощные и энергоэффективные процессоры Мы часто обсуждали закон Мура, открытый Гордоном Муром, бывшим генеральным директором Intel. Закон Мура гласит, что количество транзисторов внутри интегральной схемы удваивается каждые два года. Современные высокопроизводительные чипы, такие как Snapdragon 855 и Apple A12 B...

iPhone 2020 года ожидает скачок производительности Тайваньский производитель Taiwan Semiconductor Manufacturing (TSMC) зарезервировал заказы на первые 5-нанометровые однокристальные системы от компании Apple. Об этом сообщил ресурс DigiTimes со ссылкой на источники в индустрии.  По данным источника, новые SoC пред...

GlobalFoundries: второе поколение 12-нм техпроцесса многим клиентам заменит 7-нм Старый техпроцесс дешевле новых двух.

Samsung будет производить SoC Snapdragon 865 для Qualcomm Из Южной Кореи поступили сообщения о том, что Samsung Electronics близка к заключению контракта с Qualcomm на производство новейшей однокристальной системы, которая, как ожидается, будет официально называться Snapdragon 865. Переговоры еще ведутся, но находятся на ...

Intel: 10-нм настольные процессоры выйдут в начале следующего года В рамках недавнего мероприятия Intel Experience Canada 2019 канадский региональный менеджер Intel Дениc Годро (Denis Gaudreault) заявил, что компания Intel будет выпускать 10-нм настольные процессоры и выйдут они уже в начале следующего года. Денис Годро К сожалению, подробн...

TSMC анонсировала улучшенные 7-нм и 5-нм техпроцессы Компания TSMC представила улучшенные версии техпроцессов 7 нм DUV (N7) и 5 мм EUV (N5). Новые N7P и N5P ориентированы на клиентов, которые хотят добиться немного большей производительности или сниженного энергопотребления. Подробнее об этом читайте на THG.ru.

Что означает «7 нм техпроцесс»? Производство процессоров похоже на лабораторию из фантастического фильма В сентябре Apple, как всегда, выпустила новое поколение iPhone. На этот раз сердцем смартфонов iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max стал новый процессор от Apple A13 Bionic, подробный обзор кото...

TSMC инвестирует $19,5 млрд в производственные мощности для выпуска чипов по нормам 3-нм техпроцесса с 2023 года Современные наиболее производительные мобильные процессоры, такие как Qualcomm Snapdragon 855, Apple A13 Bionic и Huawei HiSilicon Kirin 990, изготавливаются компанией TSMC по нормам 7-нанометрового технологического процесса. Чем меньше техпроцесс, тем больше транзисторов мо...

Видеоускоритель AMD Radeon VII: когда цифры техпроцесса заменяют привычные наименования (базовый обзор с теоретической частью, синтетическими и игровыми тестами) AMD Radeon VII (16 ГБ) дает нам первое представление о самом тонком на сегодня техпроцессе для GPU — 7 нм. Переход на него позволил резко поднять частоты работы GPU, уменьшить площадь самого кристалла, что дало возможность на такой же площади подложки, как у Radeon RX Vega 6...

Micron начинает массовое производство памяти 16 Гбит DDR4 DRAM с использованием техпроцесса 1z нм Память Micron 16 Гбит DDR4 DRAM с техпроцессом 1z нм

GlobalFoundries и ARM спроектировали тестовый чип с объёмной упаковкой Компания GlobalFoundries отказалась от гонки за техпроцессами и замерла на отметке 12 нм, но это не означает, что она не будет внедрять передовые технологии объёмной упаковки чипов. За счёт 3D-компоновок даже старый техпроцесс можно использовать таким образом, что результиру...

Глава Intel гарантирует: 7-нанометровые процессоры выйдут в 2021 году Вчера вечером состоялась встреча главы компании Intel с инвесторами – первая с 2017 года. На ней глава фирмы Роберт Свон (Robert Swan) рассказал о планах Intel по выпуску процессоров на ближайшие несколько лет. Что интересно, Intel, мягко говоря засидевшаяся на но...

AMD хочет размещать чипы памяти прямо над кристаллом процессора Недавно на мероприятии, посвящённом высокопроизводительным вычислениям, глава отдела устройств для центров обработки данных (Datacenter Group) компании AMD Форрест Норрод (Forrest Norrod) поделился некоторой информацией о будущих процессорах своей компании. В частности, он р...

GlobalFoundries начнёт серийный выпуск изделий по второму поколению 12-нм техпроцесса в 2021 году Компания GlobalFoundries оставила в прошлом планы по освоению 7-нм технологии, но это не значит, что она не будет совершенствовать более зрелые техпроцессы. На этой неделе она сообщила, что уже готова снабжать клиентов инструментарием разработчика для создания продуктов, исп...

Первым семинанометровым продуктом Intel будет вовсе не процессор Сегодня про Intel мы говорим достаточно много, так как компания провела мероприятие, на котором раскрыла планы на ближайшее будущее. В частности, сегодня мы уже узнали, что мобильные 10-нанометровые CPU Tiger Lake первыми получат интегрированные GPU Intel Xe, а в следую...

[Перевод] 5 нм против 3 нм Промежуточные техпроцессы, разные типы транзисторов, и множество других вариантов добавляют неопределённости в процесс производства электроники Производители электроники готовятся к следующей волне передовых техпроцессов, но их клиенты столкнутся с кучей сбивающих с толку ва...

Samsung рассказала о транзисторах, которые придут на смену FinFET Как неоднократно сообщалось, с транзистором размерами менее 5 нм надо что-то делать. Сегодня производители чипов самые передовые решения выпускают с использованием вертикальных затворов FinFET. Транзисторы FinFET ещё можно будет выпускать с использованием 5-нм и 4-нм техпроц...

Для флагманского Huawei Mate 30. Пробное производство SoC Kirin 985 уже началось Согласно последним донесениям китайских источников, компания Huawei уже начала пробное производство своей следующей флагманской однокристальной системы Kirin 985.  Массовое производство новой SoC запланировано на третий квартал. Она должна лечь в основу смартфона ...

Стартовало создание 2-нанометрового процессора О старте разработки новой технологии производства сообщил один из руководителей TSMC Чжуан Цишоу (Zhuang Zishou), пишет портал TechWeb. Процесс находится на одном из начальных этапов, и компании, предположительно, потребуется постройка отдельной фабрики.Напомним, что TSMC ве...

Intel гарантирует, что 7-нм техпроцесс будет готов в течение двух лет О проблемах Intel с переходом на 10-нм технологические нормы, наверное, слышал каждый, кто хоть немного интересуется развитием компьютерного «железа». Процессорный гигант намерен не повторять своих ошибок и считает, что 7-нм литография имеет большое...

Samsung агитирует всех скорее переходить на EUV-литографию Компания GlobalFoundries некоторое время назад оставила амбиции освоить 7-нм техпроцесс, из-за чего AMD была вынуждена целиком положиться в этом плане на компанию TSMC. Последняя уже начала осваивать массовое производство 7-нм продуктов второго поколения, которое подразумева...

В конце года китайский производитель ChangXin Memory начнёт выпускать 8-Гбит чипы LPDDR4 По данным из индустриальных источников на Тайване, на которые ссылается интернет-ресурс DigiTimes, китайский производитель памяти компания ChangXin Memory Technologies (CXMT) полным ходом ведёт подготовку линий к массовому выпуску памяти LPDDR4. Компания ChangXin, известная ...

Компания TSMC приступила к освоению 2 нм техпроцесса Технологии не стоят на месте, и это прекрасно!

Globalfoundries продает вторую фабрику за последние три месяца Компании ON Semiconductor и Globalfoundries сегодня сообщили о соглашении, в соответствии с которым ON Semiconductor купит полупроводниковую фабрику Globalfoundries. Предприятие, рассчитанное на 300-миллиметровые пластины, расположено в Восточном Фишкилле, штат Нью-Йорк...

Российский производитель микросхем «Ангстрем-Т» обанкротился Основанное в 2005 году предприятие «Ангстрем-Т» — один из крупнейших проектов по развитию высоких технологий в России — официально признано банкротом. Решение арбитражного суда Москвы стало итогом 10-месячного рассмотрения заявления, поданного к...

TSMC инвестирует в освоение 2-нм технологических норм В настоящее время контрактный производитель Taiwan Semiconductor Manufacturing Company предлагает своим клиентам мощности для выпуска продукции по 7-нм технологическим нормам. Кроме того, компания занимается работами по внедрению 5-нм техпроцесса в течение ближайших пары...

Micron начинает серийный выпуск DRAM по нормам 1z Компания Micron Technology объявила о начале серийного производства микросхем памяти DRAM DDR4 плотностью 16 Гбит по нормам 1z. Micron — первый производитель, освоивший нормы 1z нм. По словам производителя, новое поколение норм 10-нанометрового класса «обесп...

В рамках 5-нм техпроцесса EUV-литография будет использоваться гораздо активнее Популярность технологии растёт.

Снова первая: у Samsung готова первая в отрасли память DRAM третьего поколения 10-нанометрового класса Компания Samsung Electronics, лидирующая на рынке памяти DRAM, объявила о разработке третьего поколения 10-нанометрового техпроцесса, который известен под обозначением 1z нм. Первым в отрасли южнокорейский производитель представил память DRAM DDR4 плотностью 8 Гбит, изг...

Intel так и не решила проблемы с дефицитом процессоров, нехватка CPU будет ощущаться и в следующем году Во второй половине прошлого года компания Intel столкнулась с нехваткой 14-нанометровых процессоров, в результате чего многие CPU заметно подорожали. Позже был объявлен серьезный план по выходу из кризиса: компания пообещала инвестировать несколько миллиардов долларов в...

Смартфон Galaxy Note10 первым получит 7-нанометровую SoC Samsung В сети появились новые подробности о различиях флагманских смартфонов серии Galaxy S10 и Galaxy Note10, чей выпуск ожидается ближе к осени.  По данным китайских источников, в основу Galaxy Note10 ляжет не Exynos 9820, а более быстрая и совершенная SoC. Платформа E...

Эксперты считают, что Intel не суждено догнать TSMC в сфере литографии На этой неделе компания TSMC отчиталась о результатах деятельности в третьем квартале, ещё раз напомнив о своём прогрессе в сфере освоения новых ступеней литографии. Массовое производство по второму поколению 7-нм техпроцесса уже запущено, в следующем полугодии компания расс...

Чтобы выжить, Intel будет вынуждена избавиться от собственных предприятий Не так давно генеральный директор Intel Роберт Свон (Robert Swan) во время своего визита на израильские предприятия компании заявил, что в рамках 7-нм техпроцесса его подопечным удастся вернуть «закон Мура» в прежнее русло, и Intel сможет каждые два года увеличивать плотност...

Китай приступит к 14-нм массовому производству до середины 2019 года На этой неделе появились сообщения о том, что SMIC, крупнейший полупроводниковый производитель Китая, собирается в первой половине текущего этого года начать массовое производство чипов с использованием самостоятельно разработанной технологии производства 14 нм FinFET. Приме...

Смартфонов с 12 ГБ ОЗУ станет больше: Samsung запустила массовое оперативной памяти LPDDR4X объемом 12 ГБ Компания Samsung сообщила о начале массового производства оперативной памяти LPDDR4X объемом 12 ГБ. Вообще компания производила модули памяти аналогичного объема и до сегодняшнего дня (они, к примеру, используются в топовой версии Samsung Galaxy S10+), но сейчас речь ид...

Intel продолжит использовать 14-нм техпроцесс для настольных процессоров ещё несколько лет Нынешний 14-нм техпроцесс останется в строю как минимум до 2021 года В презентациях Intel о переходе на новые технологии упоминаются какие угодно процессоры и продукты, но не настольные Массовое производство продуктов Intel по 7-нм технологии будет развёрнуто не ранее 2022 ...

Процессоры AMD EPYC Milan унаследуют у предшественников многое, включая разъем На недавней презентации компания AMD рассказала о процессорах EPYC следующего поколения на микроархитектуре Zen 3. Они известны под условным наименованием Milan и придут на смену процессорам EPYC Rome на микроархитектуре Zen 2, которые за счет высокой производительности...

Графические процессоры станут для Intel второй категорией продуктов по важности На технологической конференции Citi для инвесторов интересы Intel представлял корпоративный вице-президент Джейсон Гриб (Jason Grebe), который отвечает за сегмент «облачных» решений, но подобная специализация не мешала ему отвечать на широкий спектр вопросов. Когда присутств...

TSMC: освоение 3 нм техпроцесса идет по плану. Появились первые клиенты Пока Intel освоит 10 нм техпроцесс, TSMC начнет выпускать чипы по 5 нм техпроцессу, а там и до 3 нм недалеко

Новые микросхемы Samsung рассчитаны на робомобили и электрокары Компания Samsung Electronics представила новые полупроводниковые изделия, рассчитанные на применение в самоуправляемых транспортных средствах и автомобилях с электрическим приводом. Демонстрация решений проведена в рамках мероприятия Samsung Foundry Forum (SFF) 2019 в Мюнхен...

TSMC запланировала переход на 2-нм техпроцесс К 2024 году компания развернет 2-нм производство

Работы над Kirin 1000 идут: 5-нм техпроцесс и ядра Cortex-A77 Весной чипмейкер TSMC заявил о своем желании запустить пробное производство 5-нанометровых процессоров с использованием технологии EUV. Если все задуманное осуществится в срок, то серийное производство 5-нм чипов стартует в первом квартале 2020 года, а на рынке их появление ...

Samsung представила систему на кристалле Exynos 9825, выполненную по 7-нм техпроцессу EUV Уже сегодня состоится презентация долгожданной линейки смартфонов Samsung Galaxy Note10. Но Samsung решила начать привлекать к нему внимание раньше и представила новую систему-на-кристалле Exynos 9825. Компания называет ее первой в мире однокристальной системой, выполненной...

Оцениваем планы AMD на ближайшие полтора года У компании AMD нет каких-то технических проблем с освоением новых техпроцессов и архитектур, как у […]

Samsung представила 10-нм чипы DDR4 DRAM третьего поколения Корпорация Samsung Electronics объявила о разработке новых микросхем памяти DDR4 DRAM третьего поколения, изготавливаемых по техпроцессу 10-нм класса (1z-nm). Чипы ёмкостью 8 Гбит (1 ГБ) поступят в массовое производство во второй половине этого...

У Samsung Galaxy S11 не будет подэкранной камеры — она по-прежнему будет врезана в дисплей Недавно появились слухи о том, что флагманский смартфон Samsung следующего поколения, Galaxy S11, получит подэкранную фронтальную камеру, но судя по новым данным, такое решение если и появится, то в модели через поколение. А Galaxy S11 по-прежнему получит врезанную фро...

Стало известно, у кого и когда Nvidia закажет выпуск первых GPU по технологии EUV По сообщению источника, компания Nvidia будет проектировать графические процессоры, выход которых запланирован на будущий год, в расчете на изготовление по 7-нанометровой литографии в жестком ультрафиолетовом диапазоне (EUV). Их выпуск будет заказан у компании Samsung. ...

Intel взяла курс на 5-нм и 3-нм технологические нормы Не секрет, что переход на 10-нанометровые технологические нормы стал серьёзным вызовом для Intel. Изначально планировалось освоить новый техпроцесс ещё несколько лет назад, а после 14-нм процессоров Skylake должны были дебютировать 10-нм Cannonlake. Сегодня...

По словам генерального директора Intel, задержки с освоением 10-нм техпроцесса были связаны с излишними амбициями компании Но больше такого не повторится.

Huawei Mate 30 станет первым смартфоном с 7-нанометровой SoC Kirin 985 Источники утверждают, что первым смартфоном, который будет построен на базе однокристальной системы Huawei Kirin 985, станет флагман Huawei Mate 30. Ранее сообщалось, что однокристальная система Huawei Kirin 985 будет первой SoC для смартфонов, изготовленной с использов...

В 2020 году Apple iPhone получит 5-нм чипсет Производительность и энергоэффективность мобильных чипов стремительно растет. Казалось бы, совсем недавно нам представили чипсет, работающий на основе 7-нм техпроцесса, который во всех отношениях почти идеален. Тем не менее, производители всегда находятся на шаг впереди, а ч...

Анонс процессора Kirin 985 ближе, чем ожидалось В конце прошлого года в сети появилась информация, что Huawei намерена в этом году выпустить два флагманских чипа. Помимо Kirin 990 компания пополнит ряд фирменных однокристальных систем платформой Kirin 985, которая должна стать разогнанной версией Kirin 980. А еще ест...

Архитектура Zen 3 и 7nm+ техпроцесс EUV увеличат плотность транзисторов на 20% Уже в следующем году AMD перейдёт на архитектуру Zen 3 и более совершенный техпроцесс 7nm+ с литографией в жёстком ультрафиолете (EUV). И сегодня нам удалось узнать, чего стоит ждать от этого перехода. Подробнее об этом читайте на THG.ru.

5 нанометров для iPhone Переход на 5-нанометровый техпроцесс производства процессоров для iPhone задерживается.

TSMC освоит серийный выпуск 5-нанометровой продукции во втором квартале 2020 года, а в 2021 перейдет на улучшенный техпроцесс N5+ В начале месяца мы сообщали о том, что компания Taiwan Semiconductor Manufacturing Co (TSMC), являющаяся крупнейшим мировым контрактным производителем полупроводниковой продукции, готовится начать тестовое производство 5-нанометровой SoC Apple A14 для смартфонов iPhone 2020....

AMD будет сокращать размер ежегодных выплат GlobalFoundries Это закономерно – спрос на старые техпроцессы будет сокращаться.

Процессоры для iPhone начнут производить по новой технологии Процессор с логотипом Apple Оптимизация техпроцесса очень важна для производителей процессоров. Благодаря ей они могут снизить затраты на производство процессоров, снизить их тепловыделение и энергопотребление. Кроме того, сделать процессор более производительным и быстрым, ...

Сэкономленные на разработке модемов средства Intel направит на освоение 7-нм техпроцесса И на улучшение показателей 10-нм техпроцесса.

Samsung Electronics выпускает первый твердотельный накопитель, в котором используется флеш-память V-NAND шестого поколения с более чем 100 слоями Компания Samsung Electronics объявила о начале серийного выпуска твердотельного накопителя объемом 250 ГБ, в котором используется флеш-память V-NAND шестого поколения с более чем 100 слоями (производитель использует обозначение 1xx). В накопителе с интерфейсом SATA испо...

Компания Globalfoundries представила техпроцесс 12LP+ FinFET Контрактный производитель полупроводниковой продукции Globalfoundries (GF) сегодня представил техпроцесс 12LP +. По словам GF, он «предлагает разработчикам микросхем лучшее в своем классе сочетание производительности, мощности и площади, а также набора новых ключе...

Слухи приписывают AMD намерения выпустить в этом году 7-нм гибридные процессоры Представительница AMD на технологической конференции Bank of America Merrill Lynch сообщила, что компания намеревается перевести на 7-нм техпроцесс изготовления и мобильные процессоры марки Ryzen, но когда это случится, она уточнять не стала. В конце концов, настольным 7-нм ...

Apple готовит для iPhone 2020 процессоры на базе 5-нм техпроцесса По словам отраслевых источников, приведенных в отчете DigiTimes, производитель чипов для iPhone TSMC миниатюризирует процесс изготовления чипов для iPhone 2020 до 5 нанометров. Тайваньская компания по производству полупроводников разрабатывает более тонкие процессоры с…

Популярность iPhone 11 может стать проблемой для AMD и NVIDIA Компании, сделавшие ставку на выпуск своих чипов по прогрессивной технологии с нормами 7 нм, могут в ближайшем будущем столкнуться с серьёзными проблемами. Недавно выяснилось, что главный контрактный производитель полупроводников по 7-нм технологии, TSMC, не справляется с по...

Производство чипов Apple A13 для новых iPhone начнётся во втором квартале О предстоящем чипсете Apple A13 много говорили в прошлом году, тем не менее, никаких официальных сведений о нём до сегодняшнего дня мы не имели. На днях генеральный директор TSMC подтвердил, что его компания остаётся единственным партнёром Apple по производству чипа A13 в 20...

Samsung представила 7-нм SoC Exynos 990 для Galaxy S11 Samsung Electronics анонсировала мобильный процессор премиум-класса Exynos 990 и сверхбыстрый 5G-модем Exynos Modem 5123. Exynos 990Новый чипсет использует самый передовой 7-нм техпроцесс с использованием ультрафиолетового излучения (EUV). Чип включает в себя два…

Потребность в 5G стимулирует ускорить производство ... Во время конференции по квартальным доходам, представитель крупнейшего в мире производителя полупроводников TSMC намекнул, что в связи с развитием рынка 5G потребительский спрос на передовые процессоры стал гораздо выше и благодаря этому уже в первой половине 2020 года компа...

SK Hynix анонсировала 16-гигабитные чипы DDR4, выпускаемые по нормам 1Z-нм Южнокорейский чипмейкер SK Hynix завершил разработку 16-гигабитных (2 ГБ) микросхем DDR4, для выпуска которых будет использоваться техпроцесс класса 1Z-нм. Об этом компания сегодня объявила в соответствующем пресс-релизе. Как отмечает вендор, благодаря новой технологии...

Micron начинает массовое производство 16 Гб чипов DRAM по технологии 1z нм Компания Micron объявила о своих достижениях в масштабировании памяти DDR4, достигнув объёма 16 Гб при использовании техпроцесса 1z нм.

Intel вынуждена увеличивать расходы на литографию с прошлого года Фабрик мало, 10-нм техпроцесс поддаётся плохо.

Intel обещает дискретную 7-нм графику в 2021 году Генеральный директор Intel Боб Свон (Bob Swan) рассказал в интервью, что компания намерена вернуться к графику крупных обновлений производства каждые 2-2,5 года, как это было до освоения 14-нм техпроцесса несколько лет назад. Из-за...

Презентация графической архитектуры Nvidia Ampere может состояться весной 2020-го Давно не секрет, что специалисты Nvidia в настоящее время трудятся над графическими процессорами с архитектурой Ampere. Эти GPU будут изготавливаться на заводах Samsung по 7-нанометровому техпроцессу на базе ультрафиолетовой литографии и, по предварительной...

Кристалл контроллера ввода-вывода AMD Ryzen 3000 построен на 12-нм техпроцессе Как мы знаем, процессоры AMD Ryzen 3000 (Matisse) используют многокристальную компоновку с одним или двумя 7-нм чиплетами CPU Zen 2 и кристаллом контроллера ввода-вывода. И, хотя предполагалось, что он построен на 14-нм технологиях, последние данные говорят о другом. Подробн...

TSMC втрое увеличивает срок исполнения заказов на 7-нанометровую продукцию Компания TSMC на данный момент предлагает наиболее совершенное производство полупроводниковой продукции, рассчитанное на нормы 7 нм. По данным представителей отрасли, высокий спрос на эту технологию привел к тому, что срок исполнения заказов увеличился втрое — с д...

SK Hynix торжественно открыла в Китае новые линии по производству памяти DRAM В четверг, 18 апреля, в присутствии партийной верхушки и глав провинции Цзянсу, а также работников консульства Республики Корея исполнительный директор компании SK Hynix Ли Сок Хи (Lee Seok-hee) торжественно ввёл в строй новый заводской корпус на производственной площадке ко...

Samsung поможет Intel справиться с дефицитом 14-нм процессоров Не было бы у компании Samsung счастья, да несчастье Intel помогло. Южнокорейское информационное агентство Yonhap распространило новость, что Samsung Electronics согласилась начать выпуск центральных процессоров для Intel. Неделю назад Intel намекнула на возможность подобного...

5-нанометровые чипы производства Samsung будут использоваться во флагманских смартфонах 2020 года Как стало известно, компания Samsung готовится к производству продукции с использованием нового 5-нанометрового технологического процесса, который получил название 5LPE (5nm low-power early). В своей основе новая технология опирается на литографию в жестком ультрафиоле...

Французы предложили недорогую технологию производства экранов MicroLED любого размера Предполагается, что экраны с использованием технологии MicroLED станут следующим этапом развития дисплеев во всех проявлениях: от маленьких экранов для носимой электроники до больших телевизионных панелей. В отличие от LCD и даже OLED экраны MicroLED обещают лучшие разрешени...

Новые микросхемы Samsung позволят снизить стоимость оперативной памяти DDR4 Как сообщает источник, компания Samsung вывела на рынок модули оперативной памяти DDR4, основанные на новых микросхема (A-Die вместо B-Die). Эти микросхемы производятся по 10-нанометровому техпроцессу вместо старого 20-нанометрового, который использовался все эти годы ...

Samsung поделилась успехами в освоении 3-нм техпроцесса Она опередит конкурентов на год, как минимум.

GlobalFoundries представила техпроцесс 12LP+ FinFET GlobalFoundries, американская компания, занимающаяся производством полупроводниковых интегральных микросхем, представила техпроцесс 12LP+. Это инновационное решение для обучения искусственному интеллекту и приложений логического вывода. Подробнее об этом читайте на THG.ru.

Однокристальная система Huawei Kirin 985 будет представлена до конца квартала Еще в декабре появились первые сведения, что Huawei работает над однокристальной системой Kirin 985, которая станет преемницей нынешней флагманской модели Kirin 980. Как и Kirin 980, она будет спроектирована в расчете на технологические нормы 7 нм, но может стать первой...

К 2021 году TSMC уже освоит второе поколение 5-нм техпроцесса Intel же будет всё ещё барахтаться в ранней стадии освоения 7-нм технологии.

Производство полупроводниковой микроэлектроники проконтролируют с применением машинного обучения Сегодня, чтобы контролировать качество проведения любого технологического процесса массового производства микроэлектроники, из партии проверяют одну-две подложки. Если технология стабильна, на остальных результат должен быть тем же самым. Однако, когда размер элементов на по...

Intel готова к массовому выпуску памяти MRAM Как сообщает интернет-издание EETimes, корпорация Intel готова к началу массового производства магниторезистивной оперативной памяти SST-MRAM (spin-transfer torque magnetoresistive random access memory). Для выпуска данного типа ОЗУ чипмейкер адаптировал 22-нм техпроцесс Fin...

Samsung представила 3 нм техпроцесс Обсуждаем передовые технологии Samsung

TSMC готовится начать тестовое производство 5-нанометровой SoC Apple A14 для iPhone 2020 Taiwan Semiconductor Manufacturing Company (TSMC) сообщила о том, что она готова начинать тестовое производство продукции по нормам 5 нм. Примечательно, что TSMC является единственным поставщиком однокристальных системы Apple с 2016 года. Согласно отчетам, все заказы на...

Определена приблизительная площадь кристалла 10-нм процессоров Intel Ice Lake AMD со своим 7-нм техпроцессом не так уж сильно вырвалась вперёд.

TSMC анонсировал техпроцесс 6-нм (N6) с технологией EUV Первые процессоры появятся в 2020 году.

Snapdragon 875 2021 года может выпускаться на техпроцессе 5 нм Его производством должна заниматься компания TSMC.

Производство чипов Apple A13 Lightning для новых iPhone начнется уже скоро В новых смартфонах Apple iPhone будет использоваться однокристальная система Apple A13, которая должна будет составить конкуренцию флагманской SoC Qualcomm Snapdragon 855. Исполнительный директор компании Taiwan Semiconductor Manufacturing Company (TSMC) Вей Жеджиаха (...

В ядро Linux добавлена поддержка настольных и серверных процессоров Intel Ice Lake на базе 10-нм техпроцесса Выход процессоров Intel, изготавливаемых по нормам 10-нанометрового технологического процесса, сопровождают множественные, иногда противоречивые слухи. Например, ходят слухи, что чипмейкер и вовсе отказался от идеи выпуска настольных CPU по нормам 10-нм техпроцесса из-за про...

Компания Samsung планирует создать чипы по техпроцессу 3 нм Чипы, созданные по техпроцессу 3 нм, будут потреблять вдвое меньше энергии, чем современные, созданные по техпроцессу 7 нм. Производительность таких чипов вырастет на 35%. Размер чипа станет меньше на 45%.

Расходы на освоение 10-нм техпроцесса сильнее всего влияют на прибыль Intel в серверном сегменте Потому что в мобильном сегменте 10-нм процессоры уже приносят компании прибыль.

Компания Micron передала в производство первые микросхемы 128-слойной флеш-памяти 3D NAND с новой архитектурой ячеек По данным источника, компания Micron передала в производство первые микросхемы памяти 3D NAND четвертого поколения, в которых применена новая архитектура — RG (replacement gate), которая сменит используемую сейчас технологию плавающего затвора. В отличие от послед...

Intel планирует освоить 7-нм техпроцесс к 2021 году, первенцем будет графический процессор Новый техпроцесс сменит три поколения за три года.

NVIDIA пока не считает целесообразным переход на 7-нм техпроцесс Всё дело в экономике.

Названы возможные причины, по которым Intel не будет использовать свой 10-нм техпроцесс для выпуска графических процессоров Корейское – значит "лучшее"?

Выпуск чипа Huawei Kirin 985 для мощных смартфонов начнётся в текущем квартале Компания Taiwan Semiconductor Manufacturing Company (TSMC) начнёт массовое производство мобильных процессоров Huawei HiSilicon Kirin 985 до конца текущего квартала, о чём сообщает ресурс DigiTimes. Информация о подготовке чипа Kirin 985 для мощных смартфонов ранее уже появля...

Samsung освоила 5-нм техпроцесс Компания готова разослать клиентам образцы новых чипов.

Техпроцесс TSMC 7нм+ EUV повысит производительность чипов на 10% Массовое распространение случится в конце года.

MediaTek готовится стать проводником 5G в недорогих ... Чипмейкер MediaTek среди тех, кто обратил свой взор на сегмент 5G-устройств. В этом году тайваньская компания представила новое поколение однокристальной системы с интегрированным модулем с поддержкой сетей пятого поколения. Как ожидается, поставки нового процессора произво...

Система на чипе Apple A13 будет первой на техпроцессе 7 нм N7 Pro Промышленное производство начнётся уже скоро.

На заводе «Ангстрем-Т» освоена технология Trench MOSFET Российское предприятие «Ангстрем-Т» сообщило об освоении современной технологии полупроводникового производства. Речь идет о технологии силовых транзисторов Trench MOSFET. В настоящий момент в России только «Ангстрем-Т» может выпускать транзистор...

Особый вид квантового туннелирования может изменить полупроводниковую отрасль Что такое парадокс Клейна, и как он может помочь дальше уменьшать техпроцесс чипов.

Началось производство однокристальной системы Apple A13 для iPhone XI и iPhone XI Max Новые модели iPhone, дебютирующие в сентябре, будут построены на однокристальной платформе Apple A13, и, как пишет источник, производство этой SoC уже началось. Изготовителем Apple A13 является контрактный производитель TSMC, техпроцесс платформы – 7-нанометровый ...

AMD анонсировала свою 3D-архитектуру чипов, чтобы догнать Intel Foveros 3D Intel Foveros 3D Поскольку закон Мура больше не действует, разработчикам микросхем приходится искать иные способы повышения производительности. Одна из подходящих для этого технологий называется 3D-штабелирование (3D chip stacking). Это объёмная этажерочная архитектура чип...

Официально представлен новый процессор для флагманского смартфона Samsung Galaxy Note10 Чип Exynos 9825 стал улучшенной версией процессора Exynos 9820, который лежит в основе Galaxy S10. Благодаря применению 7-нанометрового техпроцесса EUV чипсет получил улучшенные показатели энергопотребления и производительности.

Кристалл контроллера ввода-вывода AMD Ryzen 3000 (Matisse) изготавливается по нормам 12 нм, а не 14 нм Процессоры AMD Ryzen 3000 (Matisse) имеют многокристальную компоновку. Один или два кристалла с CPU Zen 2, изготавливаемые по нормам 7 нм, соседствуют с кристаллом контроллера ввода-вывода. В него встроен двухканальный контроллер памяти DDR4, корневой комплекс PCIe 4.0 ...

Intel придумала новый способ конкурировать с AMD. Компания адаптирует новую микроархитектуру под старый техпроцесс О процессорах Intel Rocket Lake мы недавно уже вспоминали. Напомним, это поколение, которое придёт на рынок в 2021 году в разных сегментах. В настольном эти CPU придут после Comet Lake (но не на замену), а в мобильном придут на смену Coffee Lake Refresh и Whiskey Lake. ...

Российская Ангстрем-Т готова выпускать силовые транзисторы Trench MOSFET Очевидный курс массового автотранспорта на электромобили, солнечная энергетика и общее развитие электроники в сторону мобильности открыто намекают на важность развития силовой полупроводниковой электроники. В перспективе отрасль будет переходить на новые материалы из разряда...

Samsung начинает производство модулей AiP для 5G mmWave В июле прошлого года компания Qualcomm представила первые в мире антенные модули (AiP) 5G NR mmWave и радиочастотные модули sub-6 ГГц для смартфонов и других мобильных устройств, а к октябрю специалисты Qualcomm смогли уменьшить антенные модули 5G NR mmWave на 25%. ...

Началось массовое производство SoC Apple A13 и Kirin 985 TSMC официально объявила о начале массового производства однокристальных систем с использованием технологического процесса 7nm + второго поколения. Впервые тайваньская компания начинает производство методом литографии в жестком ультрафиолетовом диапазоне (EUV). Согласно...

Семинанометровые настольные APU Ryzen могут выйти ещё до конца текущего года Как известно, вскоре в продаже появятся настольные CPU и APU Ryzen третьего поколения. Только вот обычные процессоры и их гибридные собратья будут сильно отличаться, так как первые основаны на архитектуре Zen 2 и производятся по техпроцессу 7 нм, а вторые используют Zen...

Расходы на рекламу и разработки компании Intel удалось сократить Инвестиции в перспективные виды бизнеса и техпроцессы не пострадали.

AMD: будущее — за чиплетами, не надо гнаться за нанометрами Исполнительный директор AMD Лиза Су (Lisa Su) на ежегодном собрании акционеров уже заявила, что передовые компоновочные решения вроде использования «чиплетов» станут одной из основ успеха компании в будущем. Технический директор Марк Пейпермастер (Mark Papermaster) в очередн...

Intel анонсировала мобильные процессоры 10-го поколения (Comet Lake) на базе 14-нм техпроцесса В модельном ряду процессоров Intel образовалась некоторая путаница. В начале этого месяца была анонсирована линейка мобильных чипов 10-го поколения на базе 10-нм техпроцесса (Ice Lake). А сегодня компания вывела на рынок уже другое семейство мобильных процессоров, которые то...

Intel готовится к переходу на 7 нм техпроцесс и не верит в конкуренцию со стороны AMD Самое главное не паниковать, глядишь и пройдёт всё само

В следующем году Samsung начнёт массовое производство чипов по нормам 5-нм техпроцесса Производители чипов постепенно осваивают всё более тонкие технологические процессы изготовления. Сейчас уже многие чипмейкеры производят процессоры с использованием 7-нанометрового техпроцесса, хотя Intel забуксовала на 10-нанометровом техпроцессе. Тем не менее, прогресс не ...

Huawei Mate 30: характеристики и время анонса Huawei Mate 30 станет очередной попыткой компании на соперничество с флагманами Samsung или Apple. Ожидалось, что новое поколение имиджевого флагмана представят в октябре нынешнего года. Но теперь есть предсказания, что компания ускорилась, и анонс линейки Mate 30 должен сос...

Китайские учёные разработали 3-нм транзистор По сообщению китайского издания South China Morning Post, группа китайских исследователей из Института микроэлектроники китайской академии наук разработала транзистор, который можно будет выпускать в рамках 3-нм техпроцесса. В отличие от 3-нм структуры транзистора компании S...

[Перевод] AMD Ryzen Matisse третьего поколения: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК AMD Ryzen Matisse третьего поколения выйдет в середине 2019 года: восьмиъядерный Zen 2 с PCIe 4.0 для настольных ПК Моргните, и вы уже рискуете пропустить это событие: основной доклад AMD в этом году стал вихрем анонсов прайм-тайма для компании. Идея ясна: AMD пообещала и...

Видеокарты Nvidia Ampere будут основаны на семинанометровых GPU и выйдут в следующем году Ещё до выхода актуальных видеокарт Nvidia поколения Turing в Сети нередко появлялись данные о том, что поколение будет называться Ampere. В итоге мы получили иное название, но карты Ampere нас всё же ждут. Согласно новым слухам и данным, это будет новое поколение, главн...

Тайваньская Macronix рассчитывает войти в клуб производителей 96-слойной 3D NAND Рынки памяти DRAM и NAND фактически превратились в олигополию. От многообразия имён в начале «нулевых» к сегодняшнему дню число главных игроков сократилось, соответственно, до трёх и шести компаний. Остальные, а это преимущественно тайваньские производители, измельчали насто...

TSMC ускоряется — наймёт 8 тыс. новых сотрудников для внедрения 3-нм техпроцесса Компания TSMC намерена нанять дополнительные 8 тыс. сотрудников в новый научно-исследовательский центр, который будет создан в 2020 году. Он будет ориентирован на исследования и разработки технологий для 3-нанометрового и более тонких технологических процессов. По словам исп...

Память DDR4 скоро станет дешевле, поскольку DDR4 Samsung B-Die переходит на 10-нм техпроцесс Память DDR4 становится дешевле

Qualcomm представила чипсет Snapdragon 712 для среднеуровневых смартфонов Компания Qualcomm анонсировала новый мобильный чипсет Snapdragon 712. Новинка основана на том же 10-нм техпроцессе FinFET, что и Snapdragon 710, но имеет более быстрый 8-ядерный процессор, который до 10% производительней, чем предшественник, а также улучшенные технологии ИИ....

GlobalFoundries пристраивает бывшее американское предприятие IBM в хорошие руки После того как в этом году подконтрольная TSMC компания VIS стала владельцем предприятий GlobalFoundries, на которых выпускались изделия типа MEMS, слухи неоднократно приписывали владельцам оставшихся активов стремление оптимизировать их структуру. Разного рода спекуляции уп...

AMD освобождается от уплаты «штрафа» GlobalFoundries за выпуск процессоров на стороне С момента начала сотрудничества компаний AMD и GlobalFoundries производитель процессоров ввёл обязательное условие для разработчика выкупать определённый объём пластин каждый квартал. По условиям договора WSA «плати или бери», компания AMD обязалась не только выкупать заране...

Samsung может заняться производством дискретной графики Intel по 5-нм техпроцессу У самой Intel может просто не хватить производственных мощностей.

TSMC утверждает, что все использующие 7-нм техпроцесс клиенты перейдут на 5-нм И AMD, хотя это имя и не упоминается открыто.

TSMC начинает массовое производство процессоров Apple A13 и Huawei Kirin 985 Компания TSMC официально объявила о начале массового производства процессоров Apple A13 и Huawei Kirin 985 по 7 нм техпроцессу второго поколения. ***

TSMC перейдет на техпроцесс N5+ в 2021 году В апреле текущего года генеральный директор TSMC (Taiwan Semiconductor Manufacturing Company) объявил, что компания уже работает над созданием процессора по 5-нм техпроцессу. Новый отчет предполагает, что TSMC планирует начать массовое производство 5-нм чипсетов в 2020 году…

Финансовый директор Intel: компания перейдет на 7-нанометровые процессоры в середине 2021 года То, что уже давно освоено AMD, покорится Intel еще очень нескоро. Как сообщил финансовый директор компании Джордж Дэвис (George Davis), массовое производство процессоров, изготавливаемых с применением техпроцесса 7 нм, начнется во второй половине 2021 года. Тогда же ста...

Samsung Galaxy A71 с процессором Exynos 980 Как оказывается первым смартфоном Samsung с процессором Exynos 980 может стать Galaxy A71 с номером SM-A7160 для китайского рынка и SM-A715F для международного. Смартфон Samsung Galaxy A71 получит поддержку 5G, это будет доступная модель с 5G и процессором который построен ...

Представлен процессор Intel NNP-T: 24 тензорных ядра, 32 ГБ памяти HBM2 и 27 млрд транзисторов Пару месяцев назад компания Intel представила новый продукт семейства Nervana — процессор NNP-I. Это решение основано на обычном процессоре Ice Lake, из которого «убрали всё лишнее» и добавили блоки DSP. Сегодня на мероприятии Hot Chips 31 компания Int...

Huawei увеличит портфолио чипов сразу двумя ... До конца нынешнего года Huawei планирует представить две фирменные однокристальные системы. По инсайдерской информации, одна из них — Kirin 985 и дебютирует она в семействе Huawei Mate 30. Это будет первый чип, произведенный с использованием 7-нанометрового техпроцесс...

Intel представила Lakefield: пятиядерный гибрид Core и Atom с трёхмерной компоновкой Intel представила свой первый гибридный процессор Lakefield, в котором объединено пять вычислительных ядер с различной архитектурой, работающих по принципу big.Little. Несмотря на то, что для изготовления Lakefield применяется 10-нм техпроцесс и технология 3D-компон...

Intel: 10-нм процессоры Ice Lake-U выйдут до конца года Спустя долгие годы работы над 10-нанометровым техпроцессом Intel наконец-то готова приступить к массовому выпуску CPU по новым технологическим нормам. Как рассказали представители чипмейкера на CES 2019, ближе к концу этого года на прилавках...

Уровень брака при производстве 7-нм процессоров AMD заметно снизился Новый 7-нм технологический процесс в исполнении TSMC позволяет AMD получать достаточно компактные кремниевые кристаллы. В случае с центральными процессорами Ryzen и EPYC нового поколения переход на многокристальную компоновку с так называемыми «чиплетами» позволяет как добит...

Intel удаётся избежать сокращения затрат на разработки и исследования В новые техпроцессы и новые продукты приходится прилично вкладываться.

Intel прекращает выпуск практически всех настольных процессоров Core 7-го поколения Компания Intel опубликовала очередное «Уведомление об изменении продукта», получившее номер 117180-00. В нем производитель сообщает о прекращении выпуска практически всей серии процессоров Core 7-го поколения для настольных ПК (Kaby Lake). Это относится к пр...

Процессор Apple A13 удивит своей производительностью Ни для кого не секрет, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности решения конкурентов на год-два. В этом году Apple представит iPhone 11 с процессоро...

В Китае начал работать первый завод LG по выпуску большеформатных OLED Компания LG Display стремится стать главным игроком на рынке большеформатных панелей OLED для телевизоров. Очевидно, что телеприёмники премиального уровня должны получить лучшие экраны из имеющихся, чему OLED соответствует в полной мере. Особенно это важно для рынка в Китае,...

Генеральный директор Intel пообещал, что дефицита процессоров больше не случится Корпорация наращивает мощности по 14-нанометровому техпроцессу и планирует к новогоднему сезону выпустить больше 10-нанометровых чипов, чем предполагалось раньше

TSMC о 6 нм: это техпроцесс не для всех Но для многих, кому не по карману 5 нм.

Угрозы Трампа привели к тому, что впервые за год рынок памяти показал рост квартальной выручки Аналитики подразделения DRAMeXchange торговой площадки TrendForce сообщили о состоянии рынка памяти в третьем квартале календарного 2019 года. Впервые после трёх следующих друг за другом кварталов, в каждом из которых мировой рынок памяти показывал всё меньшую и меньшую выру...

TSMC анонсирует начало разработки 2 нм техпроцесса Большие и мощные процессоры наконец-то начали выпускаться по 7 нм технологии, однако TSMC смотрит в будущее и уже готовится к разработкам 2 нм технологии.

Жизненный цикл 14-нм техпроцесса Intel продлила до 2021 года Он будет существовать бок о бок с 7-нм техпроцессом.

TSMC начала производство чипов по технологии 7 нм+ второго поколения Для тайваньской компании это первый производственный проект с использованием литографии в жестком ультрафиолетовом диапазоне.

GPU для грядущих дискретных видеокарт Intel может выпускать... Samsung Раджа Кодури (Raja Koduri), который перешёл из AMD в Intel и сейчас курирует разработку дискретных GPU последней, на днях посетил завод Samsung Electronics. На фоне параллельных заявлений о пятинанометровом техпроцессе с использованием EUV это породило слухи о том, что...

Представлен первый в мире смартфон с новым восьмиядерным процессором Им стала модель Reno Z. В её основе лежит производимый по 12-нанометровому техпроцессу чип MediaTek Helio P90. Он включает два ядра Cortex-A75, шесть ядер Cortex-A55 и графический процессор PowerVR GM 9946.

Технологическая себестоимость 7-нм кристаллов Ryzen не превышает $15 Представители AMD в последнее время часто по своей риторике напоминают руководителей Intel в лучшие, с точки зрения литографии, годы. При малейшей возможности речь заходит о 7-нм технологии и её преимуществах, но руководителей AMD понять можно — долгие годы отсиживаясь на «в...

Intel не будет выпускать 10-нм процессоры для настольных ПК В последние годы корпорация Intel выпускает свои десктопные процессоры по 14-нм технологическим нормам и, судя по всему, продолжит это делать ещё как минимум пару лет. Многострадальный 10-нм техпроцесс к настоящему моменту нашёл применение...

GlobalFoundries не собирается «разбазаривать» имущество и дальше В конце января стало известно, что предприятие Fab 3E в Сингапуре перейдёт от GlobalFoundries к Vanguard International Semiconductor, и новые владельцы производственных мощностей наладят на нём выпуск MEMS-компонентов, а продавец выручит $236 млн. Следующим шагом по оптимиза...

В третьем квартале выручка TSMC на 27% зависела от 7-нм техпроцесса Чем дальше, тем этот показатель будет выше.

Процессоры AMD на архитектуре Zen 3 будут переведены на техпроцесс 7nm++ В продаже они появятся в 2020 году.

Snapdragon 865 будет производиться на мощностях Samsung Snapdragon 865 сделают с использованием 7-нм техпроцесса с применением EUV

Первые подробности о чипах Qualcomm Snapdragon 865 и 875 Компания Qualcomm готовит к выпуску мобильный процессор Snapdragon 865, а также первый в мире чипсет, изготовленный по 5-нм техпроцессу – Snapdragon 875. В сети появилось несколько подробностей, касающихся грядущих новинок. Snapdragon 875Согласно китайскому изданию…

Слух: процессоры AMD EPYC (Milan) смогут обрабатывать четыре потока на ядро В следующем году компания AMD обещает начать массовое производство настольных и серверных чипов на архитектуре Zen 3 по улучшенному 7-нм техпроцессу EUV (Extreme Ultra Violet). Как сообщают сетевые источники, в микроархитектуре заложена возможность...

Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus Совсем недавно Intel продемонстрировала свои новые производительные мобильные процессоры 9-го поколения, а теперь компания уже готова рассказать о своих будущих чипах 10-го поколения Ice Lake, которые наконец будут изготавливаться по нормам 10-нанометрового технологического ...

Производство процессоров Apple A13 уже началось Тайваньская компания Taiwan Semiconductor Manufacturing Company (TSMC) готова приступить к массовому производству новейших процессоров Apple A13 для последних моделей iPhone. Производственные линии уже оснащены всем необходимым — и чипмейкер лишь ждёт соответствующее ...

Китайский производитель сообщил о начале массового производства чипов DRAM В двадцатых числах сентября на мероприятии World Manufacturing Convention в китайском городе Хэфэй председатель и исполнительный директор компании ChangXin Memory Technology Имин Зу (Yiming Zhu) сообщил, что его предприятие приступило к массовому производству самостоятельно ...

В 2019 году выйдут новые Apple Watch и AirPods На сайте DigiTimes появилась информация, что система-на-кристалле Apple A13 будет производиться только TSMC по 7-нм техпроцессу и должна лечь в основу смартфонов нового поколения. Также, подтвердился факт, что в 2019 году выйдет новое поколение наушников...

Intel анонсировала мобильные процессоры Core 10-го поколения Ice Lake Intel анонсировала мобильные процессоры Core 10-го поколения (Ice Lake) на базе 10-нм техпроцесса с улучшенной графикой Iris Plus. В основе процессоров 10-го поколения – структура Intel Sunny Cove, которая содержит четыре ядра с восемью потоками и частотой до 4,1 ГГц. Для…

Модули DDR4 SDRAM на чипах Samsung A-die начали появляться в продаже Весной стало известно, что компания Samsung прекращает производство своих популярных чипов DDR4-памяти B-die. Они выпускались по устаревшему 20-нм техпроцессу, поэтому южнокорейская компания захотела заменить их на новые микросхемы M-die и A-die, производимые с применением б...

Для выпуска GPU следующего поколения Nvidia может использовать 7-нм техпроцесс Samsung Как сообщает японское издание My Navi News со ссылкой на источники в корпорации Samsung, руководство Nvidia всерьез рассматривает южнокорейского гиганта в качестве производителя графических процессоров следующего поколения. По предварительной информации, для этого будет...

Видеокарты Nvidia Ampere появятся в первой половине 2020 года Судя по последним слухам, уже в первой половине следующего года Nvidia выпустит новые видеокарты поколения Ampere. Новые GPU будут выполнены с использованием 7-нм техпроцесса и, как ожидается, предложат заметный прирост производительности по сравнению с предшественниками. По...

AMD представила первую в мире 7-нм потребительскую видеокарту Radeon VII Компания AMD на выставке CES 2019 сделала сразу несколько анонсов, в том числе представила первую в мире потребительскую видеокарту, построенную по 7-нм техпроцессу — Radeon VII. В её основе лежит графический процессор на архитектуре Vega второго поколения, который включает...

TSMC освоила 6-нм техпроцесс Размещение компонентов логических цепей будет на 18% плотнее

Глава Intel признал, что задержка с выпуском 10-нанометровой — следствие чрезмерной самоуверенности компании Не секрет, что корпорация Intel сейчас переживает своего рода кризис из-за постоянных проблем безопасности и трудностей с освоением более тонких технологических норм. Собственно, недавно «синие» признали успехи «красных» и превосходство процессоров AMD Matisse над Intel Core...

Intel анонсировала процессоры Coffee Lake серий U и Y Чипы на техпроцессе 14 нм предназначаются для ультрабуков и ноутбуков.

MRAM увеличивает шансы стать следующей массовой энергонезависимой памятью Мало кто обратил внимание на новость прошедшего лета о поставках нового производственного оборудования компании Applied Materials. А новость эта знаковая. В ней сообщалось, что Applied Materials приступила к коммерческим поставкам установок по производству полупроводников с ...

GPU Nvidia Ampere будут основаны на 7-нм техпроцессе Samsung: релиз в 2020 году Графические процессоры Nvidia Ampere уже получили сертификат ECC, но до сих пор мы почти ничего не знали об их особенностях. Сегодня это изменилось. Подробнее об этом читайте на THG.ru.

Первые подробности о процессорах AMD Ryzen 4000. Вырастут и частоты, и показатель IPC Процессоры Ryzen первого поколения получились очень успешными. Второе поколение было лишь незначительным улучшением с чуть более тонким техпроцессом и чуть повышенными частотами. Третье поколение перешло сразу и на новую архитектуру (Zen 2), и на новый семинанометровый ...

Процессоры Intel Rocket Lake 11-го поколения получат до 8 ядер и устаревший 14 нм техпроцесс В последнее время Интел не радует своих клиентов

В обновленных драйверах Intel обнаружена поддержка чипсетов Series 400 и Series 495 Слух о том, что Intel готовит чипсеты Series 400 и Series 495, появился еще в мае. Тогда сообщалось, что линейка Intel 400 адресована процессорам Comet Lake, а Intel 495 рассчитаны на процессоры Ice Lake. Сейчас же существование обеих серий чипсетов подтверждено официал...

Глава NVIDIA намекнул, что 7-нм изделия конкурента ни на что не годятся Старый 12-нм техпроцесс лучше 7-нм двух?

Intel забуксовала со своими дискретными видеокартами, главная проблема – в их невысокой эффективности Только ленивый не упрекает Intel в том, что она засиделась на 14-нанометровом техпроцессе в своих CPU, но выбор его же для 3D-карт сыграет с последними злую шутку еще до их выпуска: по эффективности они не смогут тягаться 12-нанометровыми решениями Nvidia и 7-нанометров...

Samsung откладывает выпуск телевизоров QD-OLED По данным источника, компания Samsung Display (SDC) недавно вложила значительные средства в покупку запатентованных технологий QD-OLED и micro-LED у одной из южнокорейских компаний. Ранее компания Samsung продвигала технологию QLED, в которой жидкокристаллические панели...

Nvidia представила GeForce GTX 1650 SUPER и GTX 1660 SUPER Nvidia выводит на рынок GeForce GTX 1650 SUPER и GTX 1660 SUPER - два решения для игр в формате Full HD на основе архитектуры Turing с техпроцессом 12 нм. GTX 1660 SUPER - это GTX 1660, теперь место 6 ГБ GDDR5 с пропускной способностью 8 Гбит/с, получаем 6 ГБ GDDR6 с п...

AMD работает над новым графическим процессором, который станет «убийцей Nvidia» Известно, что AMD работает над графическими процессорами на архитектуре RDNA второго поколения, которые будут изготовлены по 7-нм+ техпроцессу. Этот же техпроцесс будет использован при производстве AMD Milan с архитектурой Zen 3, которые должны выйти в середине 2020 года. Им...

Мобильный AMD Athlon 300U не определился с принадлежностью к техпроцессу Противоречия присутствуют даже в официальных источниках.

Представлен российский процессор Baikal-M на 28 нм техпроцессе Пока все переходят на 7 нм, Байкал осваивает 28!

Intel в своём репертуаре: цены растут, объёмы реализации падают Зато с 10-нм техпроцессом всё не так плохо, как представляется многим.

Бывшие специалисты GlobalFoundries помогут Intel освоить 7-нм техпроцесс Начатое нужно доводить до конца.

В сети появились подробности о готовящихся процессорах AMD Athlon 300GE и 320GE Техпроцесс меньше, частоты выше

Samsung начинает производство микросхем оперативной памяти нового поколения Микросхемы изготавливаются по 12-нанометровому техпроцессу и, как заявляют в компании, «оптимизированы под задачи связи 5G и искусственного интеллекта».

Представлена однокристальная платформа Samsung Exynos 9825 — первая в мире SoC, выполненная по технологии 7 нм EUV Компания Samsung предварила сегодняшний анонс смартфонов Galaxy Note10 и Note10+ анонсом однокристальной платформы Exynos 9825, используемой в этих моделях. Эта SoC преподносится под соусом первой в мире: и хотя она не является впервой в мире 7-нанометровой платформой, ...

38-ядерные процессоры Intel Ice Lake будут иметь TDP 270 Вт В следующем году компания Intel выведет на рынок серверные процессоры в рамках платформы Whitley. Платформа будет включать как 14-нанометровые процессоры Cooper Lake, так и 10-нанометровые Ice Lake. И сегодня у нас есть подробности касательно и тех, и других. Итак, дан...

В Geekbench засветилась неанонсированная платформа MediaTek MT6785 с ядрами ARM Cortex-A76 Как пишет китайский источник, в базе данных бенчмарка Geekbench замечена новая однокристальная платформа MediaTek – она получит обозначение MT6785 и станет на ступень выше модели Helio P90 (MT6779). Судя по результатам теста, новая SoC обеспечит чуть большую произ...

Nokia 8.2 5G первый смартфон с процессором Snapdragon 735 Nokia 8.2 5G станет первым смартфонов на рынке, который оснастят процессором Snapdragon 735, хотя еще этот процессор официально не представлен. Nokia 8.2 приписывают основную камеру с несколькими модулями и главным сенсором на 64 Мп, а также выезжающую фронтальную. Смартфон...

MediaTek представила 12-нм чипсет Helio P65 с акцентом на геймеров Сегодня MediaTek представила новый мобильный чипсет, который получил название Helio P65. Новинка выполнена по 12-нм техпроцессу и обеспечивает в два раза большую производительность по сравнению с предшественником. Также при разработке чипа основной акцент был сделан на гейме...

Qualcomm представила 8-нанометровые SoC Snapdragon 730 и 730G, а также 11-нанометровую Snapdragon 665 Однокристальную платформу Qualcomm со странным обозначением SM7150 приписывали самым разным смартфонам еще с прошлого года. И вот эта однокристальная платформа сегодня представлена официально – под названием Snapdragon 730 и… сразу в двух версиях. Если суди...

Видеокарты AMD на базе Navi выйдут не раньше октября Согласно данным, полученным французским ресурсом Cowcotland от неназванного источника, компания AMD будет вынуждена отложить релиз своих видеокарт нового поколения на базе графических процессоров Navi. Конкретные причины задержки не уточняются, но предположительно она может ...

MediaTek 5G SoC: мобильная платформа для 5G-флагманов ... В феврале на выставке MWC 2019 компания MediaTek представила свой первый 5G-модем — Helio M70. Модуль связи способен передавать данные со скоростью до 4,7 Гбит/с. Прошло три месяца и чипмейкер представил свою аппаратную платформу с интегрированным в нее 5G-модемом &mda...

Слухи о корейском происхождении NVIDIA Turing оказались преждевременными Вчера руководство корейского представительства NVIDIA призналось, что Samsung будет снабжать эту компанию 7-нм графическими процессорами нового поколения, хотя ни слова не было сказано ни о сроках их появления, ни о причастности к их производству конкурирующей TSMC. По сути,...

Intel представила свои первые мобильные процессоры 10-го поколения (Ice Lake) на базе 10-нм техпроцесса Формальный анонс новых мобильных процессоров Intel 10-го поколения семейства Ice Lake состоялся ещё пару месяцев назад во время проведения выставки Computex. Но лишь сейчас компания наконец поделилась подробными сведениями об этих новинках и готова вывести их на рынок. Все у...

Рассекречены характеристики отечественного ARM-процессора Он изготовлен по 28-нм техпроцессу и имеет восемь ядер ARM Cortex-A57

AMD выпустила чип Ryzen CPU, изготовленный по техпроцессу 7 нм AMD представила новый чип Ryzen CPU, изготовленный по техпроцессу 7 нм и предназначенный для настольных ПК. Чип базируется на архитектуре Zen 2.

Intel работает над созданием 10-нм настольных процессоров Но конечных пользователей не должен беспокоить техпроцесс сам по себе.

Видеокарты семейства “Ampere” от NVIDIA могут появиться на рынке в первой половине 2020 года По сети уже гуляют слухи о том, что следующее поколение видеокарт от NVIDIA может быть анонсировано в первой половине 2020 года. Ожидается переход на более современный техпроцесс (с 12 нм на 7 нм), а значит и увеличение кол-ва транзисторов, а также показателя энергоэффектив...

AMD будет продавать Radeon VII почти по себестоимости Уже совсем скоро, 7 февраля, начнутся продажи видеокарты AMD Radeon VII. Рекомендованная стоимость новинки составит $699, что, с учётом использования дорогой памяти HBM2, является вполне гуманным ценником. Поэтому ресурс Fudzilla решил выяснить себестоимость новинки и узнать...

AMD раскрыла характеристики новых процессоров Ryzen 3000 Вчера на выставке Computex глава AMD Lisa Su раскрыла характеристики нового поколения настольных процессоров Ryzen. Благодаря переходу на новый 7-нм техпроцесс удалось увеличить частоты в режиме boost до 4.6Ghz. Читать дальше →

Процессоры Intel Tiger Lake могут обеспечить технологический прорыв благодаря зрелому 10++ нм техпроцессу - wccftech Скоро Интел снова будет впереди планеты всей

Американские лазеры помогут бельгийским учёным с прорывом до 3-нм техпроцесса и дальше Как сообщает сайт IEEE Spectrum, с конца февраля по начало марта на базе бельгийского центра Imec совместно с американской компанией KMLabs была создана лаборатория для изучения проблем с полупроводниковой фотолитографией под воздействием EUV-излучения (в сверхжёстком ультра...

Открытая архитектура RISC-V пополнилась интерфейсами USB 2.0 и USB 3.x Как подсказывают наши коллеги с сайта AnandTech, один из первых в мире разработчиков SoC на открытой архитектуре RISC-V, компания SiFive приобрела пакет интеллектуальной собственности в виде IP-блоков интерфейсов USB 2.0 и USB 3.x. Сделка совершена с компанией Innovative Log...

Samsung планирует начать массовое производство 3-нм чипов в 2021 году Южнокорейская корпорация Samsung поделилась планами начать серийное производство 3-нм полупроводниковой продукции с новым типом транзисторов GAAFET (gate-all-around FET) в 2021 году. Технологию GAAFET разрабатывается Samsung и другими компаниями с начала 2000 годов. Она...

MediaTek анонсировала чипсет Helio M70 с поддержкой сетей 5G Компания MediaTek работает на новым SoC Helio M70, который получит встроенный модем 5G и новый процессор AI. Новинка составит конкуренцию топовым предложениям от Qualcomm, Samsung и Huawei. MediaTek Helio M70 будет изготавливаться по 7-нм техпроцессу FinFET, и использовать…

Новые APU AMD Ryzen 5 3400G и 3 3200G получат заметно более высокие тактовые частоты В отличие от десктопных 7-нм процессоров Ryzen 3000 на архитектуре Zen 2, новые APU AMD будут использовать микроархитектуру Zen+ и 12-нм техпроцесс FinFET. И недавно источникам удалось узнать некоторые характеристики двух пока не анонсированных гибридных процессоров AMD. Под...

Ricoh представила технологию струйной печати литиево-ионных аккумуляторов Японская компания Ricoh сообщила, что она разработала первую в мире технологию струйной печати литиево-ионных аккумуляторов. Компании, заинтересованные в производстве аккумуляторов по новой технологии, смогут получить к ней доступ уже до конца марта 2020 года (в 2019 финансо...

Лиза Су: будущее Zen лежит в развитии архитектуры, а не только техпроцесса На квартальном отчете генеральный директор AMD Лиза Су (Lisa Su) заявила, что успех процессорной микроархитектуры Zen будущих поколений зависит не сколько от внедрения передовых технологических процессов, сколько от развития самой архитектуры вычислительных ядер....

Спецификации Snapdragon 865 накануне официального анонса В начале декабря компания Qualcomm проведет мероприятие Snapdragon Tech Summit 2019, в рамках которого с большой долей вероятности представит новый флагманский чип Snapdragon 865, который станет прямым наследником Snapdragon 855 Plus. В сеть уже утекли спецификации новой од...

Cerebras Wafer Scale Engine — гигантская микросхема размером с iPad Pro, с более чем 1 трлн транзисторов и TDP в... 15 кВт Вчера мы рассказывали о процессоре Intel NNP-T, который ориентирован на задачи машинного обучения и выделяется наличием 27 млрд транзисторов. Для сравнения, GPU Nvidia TU102, лежащий в основе топовых видеокарт поколения Turing, содержит 18,6 млрд транзисторов, а GV100 &...

Планы AMD: CPU с архитектурой Zen 4 — до 2022 года, GPU с архитектурой RDNA2 — до 2021 года Компания AMD опубликовала документ для инвесторов, в котором можно найти данные о ближайших планах производителя. Они в целом совпадают с тем, что мы уже знали, но есть немного новой информации. Итак, до 2022 года года мы увидим процессоры Ryzen не то...

BMW и Jaguar Land Rover совместно будут разрабатывать компоненты электромобилей Компании BMW и Jaguar Land Rover заявили, что будут совместно разрабатывать электродвигатели, коробки передач и силовую электронику, объединившись в еще один отраслевой альянс, участники которого рассчитывают за счет сотрудничества снизить затраты на разработку электром...

FPGA Achronix Speedster7t оптимизированы для ускорителей машинного обучения и сетевых решений с высокой пропускной способностью Компания Achronix Semiconductor, специализирующаяся на выпуске программируемых пользователем вентильных матриц (FPGA) и аппаратных ускорителей на их основе, на днях представила новое семейство FPGA. По словам производителя, FPGA семейства Speedster 7t, построенные на н...

Поставки однокристальных систем MediaTek Helio P60 и P70 превысили отметку в 50 миллионов Пускай однокристальные системы MediaTek уже не пользуются у известных производителей смартфонов былой популярностью, но они по-прежнему востребованы у местных китайских производителей. И вот очередное тому доказательство: поставки SoC MediaTek серий Helio P60 и P70 прев...

Специалисты SK hynix разработали память DRAM DDR4 плотностью 16 Гбит, рассчитанную на выпуск по нормам 1Z нм Компания SK hynix сообщила о разработке памяти DRAM DDR4 плотностью 16 Гбит, рассчитанной на выпуск по нормам 1Z нм. По словам производителя, это наибольшая плотность одного кристалла DDR4, а с учетом норм достигнут еще и рекордный объем в расчете на одну пластину. Как ...

Intel выпустил процессор 10 нм Семейство, получившее название Ice Lake, включает чипы моделей Core i3/i5/i7, которые будут поставляться в двух различных модификациях: Ice Lake-U с TDP (thermal design power, требования по теплоотводу) 15 Вт и сверхэкономичные Ice Lake-Y с TDP в 9 Вт.Поставки процессоров Ic...

Графический процессор AMD Navi оказался почти на 60% компактнее конкурирующего NVIDIA Turing 7-нм техпроцесс помогает AMD не только в сегменте центральных процессоров.

Xiaomi Mi Play — уже в Украине Mi Play работает на базе процессора MediaTek P35, который построен по 12 нм техпроцессу и имеет 8 ядер Cortex A53 с максимальной тактовой частотой 2,3 ГГц.

На прирост быстродействия Matisse новый техпроцесс повлиял в меньшей степени Архитектурные изменения стали главным фактором.

Intel убеждена, что сможет увеличить плотность размещения транзисторов в 50 раз Базовый принцип развития микроэлектронной промышленности был сформулирован одним из основателей Intel Гордоном Муром (Gordon Moore) ещё в далёком 1968 году. Эмпирическое правило гласило, что плотность размещения транзисторов на единице площади полупроводникового кристалла уд...

Qualcomm представила 8-нм чипсеты Snapdragon 730, 730G и 11-нм Snapdragon 665 Компания Qualcomm представила сразу три новые мобильные платформы - Snapdragon 665, Snapdragon 730 и Snapdragon 730G. Причём, если первый чипсет построен на 11-нм техпроцессе LPP, то два последних используют более современный 8-нм технологический процесс LPP. Подробнее об эт...

В Бельгии начали разрабатывать сверхъяркие тонкоплёночные светодиоды и лазеры Сверхъяркие светодиоды и лазеры прочно вошли в нашу жизнь и используются как для обычного освещения, так и в разного рода измерительной электронике. Перевести эти полупроводниковые приборы на новый уровень могли бы технологии производства с использованием тонкоплёночных стру...

Строительство фабрики, на которой TSMC планирует освоить нормы 3 нм, начнется раньше, чем ожидалось Как известно, в декабре прошлого года компания TSMC получила разрешение на строительство новой фабрики в Южном тайваньском научном парке в Тайнане. Крупнейший контрактный производитель полупроводниковой продукции планирует освоить на этом предприятии выпуск микросхем по...

Официальные планы AMD: работа над Zen 3 и Zen 4 идёт по плану, облачный Nаvi в следующем квартале, Threadripper 3 отменён Майская версия презентации AMD для инвесторов неожиданно получила существенные изменения. В разделах этого официального документа, посвященных плану компании на ближайшую и среднесрочную перспективу, добавились сведения о подготовке следующих поколений процессорных архитекту...

Samsung представила процессор Exynos 990 и модем 5G Exynos Modem 5123 В сентябре в модельном ряду чипов Samsung появилась новинка Exynos 980, ставшая первым процессором производителя со встроенным 5G-модемом. А сегодня состоялся анонс его продолжения — Exynos 990. Но здесь решено отказаться от интеграции модуля связи для сетей пятого пок...

Прогнозируем свойства процессоров AMD Zen 3 по характеристикам 7-нм техпроцесса второго поколения Пока слишком рано делать окончательные выводы.

Samsung готовит 8-нанометровую платформу Exynos 9710, ее характеристики уже известны Компания Samsung работает над новой однокристальной системой среднего уровня: новинка, названная Exynos 9710, придет на смену модели Exynos 9610. SoC будет производиться по техпроцессу 8 нм и получит восьмиядерный CPU. Как пишет источник, Exynos 9710 получит классическ...

В этом году HiSilicon может сместить MediaTek с позиции крупнейшего азиатского разработчика микросхем HiSilicon, дочерняя компания Huawei, специализирующаяся на разработке микросхем, может в текущем году стать крупнейшим азиатским поставщиком этой продукции, сместив с позиции лидера тайваньскую компанию MediaTek. Этот прогноз основан на планах китайской компании, предус...

[Перевод] Очередной шаг к концу закона Мура Samsung и TSMC переходят на технологический процесс 5 нм Два крупнейших производителя — Taiwan Semiconductor Manufacturing Co. (TSMC) и Samsung в апреле анонсировали о восходе на следующую ступеньку лестницы закона Мура. Сначала выступила TSMC, объявив о переходе техпроцесса...

MediaTek представила две игровые системы-на-кристалле Helio G90 и G90T Компания MediaTek в определенный момент решила прекратить конкурировать с Qualcomm и занялась производством процессоров для бюджетных и ультрабюджетных устройств. Теперь она частично возвращается на рынок среднепроизводительных смартфонов с новыми системами MediaTek Helio G...

Intel представила новые HEDT-процессоры Core i9-10000 (Cascade Lake-X). Они не сильно быстрее старых, но зато вдвое дешевле! Intel сегодня представила новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000. Новинки семейства Cascade Lake-X являются лишь немного ускоренными вариантами прошлогодних CPU Skylake-X Refresh. То есть, техпроцесс — по-прежнему 14 нм, а архите...

Флагманский графический процессор AMD Navi нового поколения станет «убийцей NVIDIA» Чуть больше месяца прошло с момента выхода видеокарт Radeon RX 5700-й серии, которые стали первыми носителями новой архитектуры RDNA. Тем не менее, компания AMD уже работает над архитектурой RDNA второго поколения, которая ляжет в основу в том числе и флагманского графическо...

Чипсет MediaTek 5G для смартфонов представят 26 ноября MediaTek сообщила о скором запуске нового 5G-чипсета, имеющего модельный номер MT6885Z, который состоится 26 ноября. В рамках Computex 2019 компания подтвердила, что новый MediaTek 5G будет изготовлен с применением 7-нм техпроцесса и получит встроенный модем MediaTek Helio M...

Huawei представила однокристальную систему HiSilicon Kirin 990 5G На IFA 2019 компания Huawei представила новую систему-на-кристалле, которая получила название Kirin 990. Она дебютирует в Huawei Mate 30. Будет две версии: 4G и 5G. Главная особенность этой системы — встроенный чип для поддержки связи нового поколения. Напомним, что недавно...

USound увеличивает время автономной работы беспроводных наушников до 12 часов с помощью MEMS Австрийская компания USound получила дополнительный транш, увеличивший последний раунд финансирования до 30 млн долларов. По словам USound, дополнительные средства позволят ускорить разработку следующего поколения звуковых излучателей, в которых используется технология ...

Huawei стала вторым по величине клиентом TSMC Huawei первой закажет у TSMC выпуск чипов по технологии, основанной на использовании литографии в жестком ультрафиолетовом диапазоне.

Японцы научились эффективно извлекать кобальт из выработанных аккумуляторов По сообщению японских источников, компания Sumitomo Metal разработала эффективный техпроцесс для извлечения кобальта из выработанных аккумуляторов для электрокаров и не только. Технология позволит в будущем избежать или смягчить дефицит этого крайне редко встречающегося на З...

Huawei P Smart 2019 и его функции Компания Huawei выпустила современный смартфон. Сзади расположена стеклянная крышка, доступная в нескольких вариантах расцветки, включая градиентный с переходом от синего к бирюзовому. Смартфон оснащён классическим разъёмом micro-USB и 3,5-м аудиовыходом. Также он оборудова...

Настольные процессоры AMD Ryzen 4000-й серии выйдут только к концу 2020 года Компания AMD сейчас работает над настольными процессорами нового поколения, которые будут представлены в серии Ryzen 4000. Согласно свежим данным ресурса MyDrivers, полученным из собственных источников, новые процессоры, а также новая платформа для них, дебютируют лишь к кон...

Представлен Samsung Exynos 980 - первый чипсет компании со встроенным 5G-модемом Samsung Electronics представила свой первый чипсет со встроенный модемом 5G - Samsung Exynos 980. Новинка создана с применением 8-нм техпроцесса и включает в себя 8-ядерный процессор с двумя ядрами Cortex-A77 и шестью Cortex-A55 в паре с графикой Mali G76. Подробнее об этом ...

+2 CPU линейки Comet Lake. Intel выпустила двухъядерные Pentium Gold 6405U и Celeron 5205U для ноутбуков В официальной базе данных процессоров Intel прописались два новых процессора: Pentium Gold 6405U и Celeron 5205U. Новинки относятся к семейству Comet Lake и предназначены для применения в ноутбуках. Впрочем, ожидается их использование также и в мини-ПК NUC. Обе модели...

Intel Ice Lake. 10 нм — в массы Итак, подходит к логическому завершению одна из самых долгоиграющих интриг в новейшей технологической истории Intel — «когда же, наконец, в серию пойдет 10 нм». Перипетии этой истории у нас еще в памяти, нет смысла их повторять. А завершается все, как ему и положено заверш...

Видеокарты Nvidia следующего поколения (Ampere) выйдут раньше, чем ожидалось Компания Nvidia готовит преемников 3D-карт GeForce RTX нынешнего поколения — Turing. Ранее уже сообщалось о том, что GPU Ampere выйдут в следующем году, но сейчас стало чуть больше конкретики относительно сроков. Как сообщает источник, новые GPU выйдут уже в перв...

Специалисты Toshiba Memory Corporation создали микросхему, позволяющую существенно увеличить скорость и емкость SSD Компания Toshiba Memory Corporation объявила о разработке микросхемы моста, которая позволяет увеличить скорость и емкость твердотельных накопителей. Такие микросхемы, занимающие небольшую площадь на печатной плате и имеющие низкое энергопотребление, дают возможность по...

Конкурент Qualcomm Snapdragon 855 Plus от MediaTek будет представлен 30 июля Однокристальная платформа Qualcomm Snapdragon 855 Plus, по словам создателей, создана специально для игровых смартфонов, но в MediaTek тоже готовят свою «первую по-настоящему игровую» SoC. Она называется Helio G90 и позиционируется в качестве альтернативы Sn...

В этом году 60% смартфонов Huawei и Honor будут использовать платформы HiSilicon Kirin В настоящее время платформы HiSilicon Kirin производства Huawei используются в смартфонах, серверах, маршрутизаторах и даже телевизорах. Компания Huawei намеревается не только стать лидером на мировом рынке смартфонов, но и усилить свои позиции на рынке производителей п...

6 ядер, 12 потоков и частота почти 5 ГГц: в Сети засветился мобильный процессор Intel Core i7-10710U (Comet Lake-U) Линейка процессоров Intel Core 10-го поколения будет состоять из двух семейств процессоров: 10-нанометровых Ice Lake-U (они уже массово производятся и поставляются изготовителям ноутбуков) и 14-нанометровых Comet Lake-U — идеологических преемниках Whiskey Lake-U и...

Компания SmartSens представила технологию датчиков изображения DSI, превосходящую BSI CMOS На мероприятии ISC West 2019 компания SmartSens, разрабатывающая датчики изображения типа CMOS, представила технологию DSI Pixel. ЭТО дальнейшее развитие технологии SmartPensel, также разработанной специалистами SmartSens. Как утверждается, DSI Pixel превосходит датчик...

AMD готовит «убийцу Nvidia» — видеокарту на базе GPU Navi 23 Как пишет источник со ссылкой на хорошо информированных о планах AMD людей, компания готовит новую флагманскую 3D-карту, которая проходит под условным обозначением «Убийца Nvidia». Видимо, в AMD всерьез полагают, что новинка сможет похвастать производительно...

Похоже, Samsung всё же не будет производить для Intel процессоры Вчера в Сети появилась информация о том, что Intel договорилась с Samsung о том, чтобы последняя производила для процессорного гиганта грядущие CPU Rocket Lake, выход которых намечен на 2021 год. Похоже, что этого не будет. Источник утверждает, что Samsung и Intel дейст...

Отставить панику: настольные процессоры Intel c десятью ядрами выйдут в начале следующего года Презентация Dell, которой известный голландский сайт руководствовался при описании ближайших планов Intel по анонсу новых процессоров, первоначально концентрировала внимание на сегменте мобильных и коммерческих продуктов. Как справедливо отметили независимые эксперты, в потр...

Онлайн-магазины утверждают, что у процессора Ryzen 9 3800X будет 16 ядер при частотах 3,9-4,7 ГГц До анонса процессоров AMD Ryzen третьего поколения осталось совсем немного. Напомним, это должно произойти на выставке Computex в конце месяца. Несмотря на это, достоверных данных о процессорах до сих пор нет, причём это касается и частот, и ядер. И вот сегодня в Сети п...

Volkswagen выделит на цифровизацию до 4 млрд евро Компания Volkswagen AG намерена до 2023 года инвестировать до 4 млрд евро в проекты по цифровизации — в основном в области управления, но и в области производства тоже. Эти проекты включают внедрение таких решений для управления ресурсами предприятия, как платфор...

Samsung выпустит новый чипсет Exynos 9710 на 8-нм техпроцессе Компания Samsung работает над новым субфлагманским чипсетом Exynos 9710. Он выступит в роли преемника прошлогоднего Exynos 9610 и будет построен на 8-нм техпроцессе. Подробнее об этом читайте на THG.ru.

Фотографии чипсета AMD X570 пролили свет на его происхождение А вот техпроцесс изготовления на фотографиях не разглядишь.

Флагманский чипсет Snapdragon 875 будет выпускаться TSMC на 5-нм техпроцессе Ближе к концу года Qualcomm должна представить новый флагманский чипсет Snapdragon 865. Но ещё до этого события в сети появились первые слухи о его преемнике, который будет известен под названием Snapdragon 875. Подробнее об этом читайте на THG.ru.

NVIDIA Ampere: цены, быстродействие, техпроцесс – всё что мы знаем о новом поколении на текущий момент Сидим и ждем новых слухов об NVIDIA Ampere

Улучшенная версия 7-нм техпроцесса TSMC поднимет быстродействие на 5% Шанс для AMD поднять производительность процессоров малой кровью.

У Unisoc готов модем 5G Китайская компания Unisoc, занимающаяся разработкой микросхем, завершила разработку модема 5G. Компания Unisoc, принадлежащая компании Tsinghua Unigroup, не имеет собственного производства. Поэтому выпуск модема Unisoc Ivy 510 будет заказан у ​​TSMC. ...

Нужно сказать спасибо AMD. Новые CPU Intel Core i5 получат поддержку Hyper-Threading Недавно мы узнали, что процессор Core i3-10100 получит четыре ядра и будет поддерживать Hyper-Threading, что сделает его существенно интереснее предшественников. Судя по новым данным, подобное преображение ожидает и новые настольные CPU Core i5. В базу SiSoft Sandra по...

Видеокарты Radeon 625, Radeon 620 и Radeon 610, похоже, основаны на GPU родом из 2013 года Несколько дней назад мы писали о видеокартах Radeon RX 640, Radeon 630, Radeon 625, Radeon 620 и Radeon 610, нацеленных на OEM-производителей. Тогда мы говорили, что все адаптеры основаны на GPU Polaris, но, похоже, это не так. Такой графический процессор лежит лишь в о...

Huawei Mate 30 с HongMeng OS или Ark OS представят 22 сентября Ожидается, что линейка флагманских телефонов Huawei Mate 30 и Mate 30 Pro будет представлена осенью этого года. Свежая информация, которой поделился в Twitter источник, указывает на то, линейка Mate 30 будет построена на однокристальной системе Kirin и работать под упра...

Чиплеты обещают новый уровень вычислительных возможностей На примере Intel мы видим, как ей все сложнее и сложнее дается следовать закону Мура, переходя с одного техпроцесса на другой, пишет портал ZDNet. Существуют опасения, что когда-то он перестанет действовать в принципе, но пока что хоть и со скрипом ...

Теперь официально: не ждите настольных 10-нанометровых CPU Intel минимум до 2021 года Пару дней назад мы смогли ознакомиться с дорожными картами Intel, которые вызвали множество вопросов. В частности, там вообще не было настольных 10-нанометровых процессоров. Сегодня Intel отчиталась за очередной финансовый квартал, заодно подтвердив своё намерение выпу...

Предположительные характеристики видеокарт Radeon RX 5600 (XT) и RX 5800 (XT) Из различных слухов и утечек известно, что компания AMD сейчас готовит графические процессоры Navi 12 и Navi 14, которые лягут в основу новых видеокарт Radeon RX 5000-й серии. И ресурс 3DCenter поделился с общественностью новыми подробностями о будущих новинках, а также и ви...

Intel признаётся, что будет разрабатывать 10-нм настольные процессоры Те немногочисленные утечки о планах Intel, которые будоражат общественность в последние месяцы, чаще всего упоминают о 10-нм процессорах только для мобильного и серверного применения, а настольный сегмент остаётся за кадром. Даже на недавней квартальной отчётной конференции ...

Процессор Qualcomm Snapdragon 865 анонсируют в начале декабря Новый флагманский процессор Qualcomm Snapdragon 865 получит восемь ядер и будет построен по 7 либо по 5 нанометровому техпроцессу Samsung вместо TSMC. Процессор выйдет в двух версиях: со встроенным модемом Snapdragon X55 и без него. Также новый процессор будет поддерживать ...

Intel представил самый мощный процессор Core На мероприятии Kickoff в воскресенье, 26 мая, перед одной из главных выставок для производителей микроэлектроники потребительского сегмента — Computex, — компания Intel приоткрыла завесу тайны и рассказала, какой процессор будет флагманом линейки Core для настольных компьюте...

Samsung представила мобильный чипсет Exynos 9825 Samsung официально представила мобильный чипсет Exynos 9825, который будет лежать в основе будущих новинок Galaxy Note10 и Galaxy Note10+. Exynos 9825 является первым чипсетом компании, выполненным по 7-нм техпроцессу EUV. Подробнее об этом читайте на THG.ru.

AMD Radeon Pro W5700 — видеокарта для 3D-дизайнеров, архитекторов и инженеров AMD анонсировала видеокарту AMD Radeon Pro W5700 с первым в мире графическим процессором на базе 7-нм техпроцесса для профессиональных рабочих станций.

Новый процессор от MediaTek со встроенным 5G модем MediaTek выходит на рынок модемов для смартфонов 5G со своим новейшим чипом, который является первым в мире с интегрированным модемом 5G. MediaTek 5G SoC будет значительно дешевле аналогичных чипов от американской компании Qualcomm. Поэтому установка процессоров MediaTek 5G ...

Qualcomm представила улучшенную платформу Snapdragon 712 для смартфонов среднего уровня Компания Qualcomm представила новую однокристальную платформу Snapdragon 712. Новинка является улучшенной версией платформы Snapdragon 710 и также ориентирована на использование в смартфонах среднего уровня. Платформа Snapdragon 712 будет производиться по тому же 10-нм техпр...

Мощный конкурент Snapdragon 865 и Kirin 990. Представлена 7-нанометровая SoC Exynos 990, которая будет использоваться в Samsung Galaxy S11 Компания Samsung сегодня ночью официально рассекретила свою новую флагманскую однокристальную платформу Samsung Exynos 990. Она явно будет использоваться в смартфонах Galaxy S11 и Galaxy Note11, а может даже и в некоторых моделях серии Galaxy A. Ну а конкурировать ей пр...

AMD представит новую линейку топовых видеокарт в начале января Неожиданная, но очень приятная новость пришла под самый конец воскресенья. Как пишет источник со ссылкой на ресурс Chiphell, правильно предсказавший ряд анонсов видеокарт AMD прошлых поколений, компания готовит большой анонс на выставке CES 2020. Это мероприятие, напомн...

В Великобритании разработан техпроцесс, который лучше, чем CMOS Британские компании Search For The Next (SFN) и Semefab совместно разработали технологический процесс производства полупроводниковых изделий, который, как утверждается, перевернет отрасль. Разработчики не побоялись фундаментальных изменений на уровне транзисторов и вер...

Гендиректор Intel считает, что компания поспешила с освоением норм 10 нм Во время конференции Brainstorm Tech, организованной изданием Fortune, генеральный директор Intel Боб Свон (Bob Swan) рассказал о текущем состоянии Intel и направлении будущего развития. Отвечая на вопрос о прекращении действия закона Мура, глава Intel признал, что &laq...

Dassault Systemes поможет Boom Supersonic ускорить разработку самого быстрого коммерческого авиалайнера в истории Компания Dassault Systèmes объявила о партнерстве с компанией Boom Supersonic. В рамках сотрудничества Dassault Systèmes развернет платформу 3DEXPERIENCE, которая позволит ускорить проектирование и разработку авиалайнера Overture. Ожидается, что Overture б...

Утечка дает представление о процессорах Intel Ice Lake-SP и Cooper Lake-SP, включая сроки выхода В сети появились сведения о новейших серверных процессорах Intel. Выход процессоров Cooper Lake-SP, которые станут первыми представителями новой платформы Whitley, планируется во втором квартале 2020 года. Для Cooper Lake-SP будет характерно значение TDP 300 Вт. Ядер бу...

Redmi K30 может все-таки получить процессор Snapdragon 735 и поддержку 5G Компания Redmi уже подтвердила, что Redmi K30 находится в разработке и показала его первую особенность — двойную фронтальную камеру, встроенную в дисплей. После этого начали появляться слухи о том, что за производительность новинки будет отвечать еще не анонсированная систем...

8000 человек помогут TSMC перейти к 3 нм Компания TSMC работает не только над 5-нанометровой технологией, но уже думает о технологии следующего поколения. Марк Лю (Mark Liu), исполнительный президент TSMC, объявил, что компания наймет 8000 сотрудников для нового центра исследований и разработок, который должен...

Meizu Note 9 c 48-мегапиксельной камерой появится в феврале Meizu Note 9 c 48-мегапиксельной камерой может появиться в следующем месяце. Китайский производитель Meizu готовится к пресс-конференции в Пекине, где выпустит ожидаемую Meizu Note 9. Стало известно, что смартфон будет оснащен процессором Snapdragon 675, 48MP Samsung GM 1 ка...

Мобильные семинанометровые процессоры AMD Ryzen появятся на рынке к концу года или в начале следующего Недавно компания AMD поверхностно представила семинанометровые настольные CPU Ryzen третьего поколения. Они выйдут на рынок когда-то позже в этом году, но слухи говорят примерно о втором квартале. Чуть ранее AMD представила и новые мобильные процессоры Ryzen, только вот...

Видеокарты NVIDIA Ampere поступят в продажу в первом полугодии 2020 года: нас ждет 7 нм техпроцесс и невероятная мощь Начинаем экономить уже сейчас, а иначе на новую видеокарту не накопить!

AMD представила первую в мире видеокарту на базе нового 7-нм техпроцесса На выставке CES 2019, которая проходит с 8 по 11 января в Лас-Вегасе, компания AMD представила видеокарту Radeon VII. Это первая в мире видеокарта потребительского уровня на базе 7-нанометрового производственного процесса.

Процессор Huawei Kirin 985 будет на 10-20% производительней чипа Kirin 980 Новый процессор станет на 10-20% производительней своего предшественника, но при этом он будет похож на него по архитектуре. Его построят по 7-нанометровому техпроцессу с методом обработки EUVL (Extreme ultraviolet lithography). Кроме этого, процессору приписывают встроен...

Доход ASML за квартал достиг 3 млрд евро — продажи оборудования для EUV-литографии растут Нидерландская компания ASML, являющаяся крупнейшим производителем фотолитографического оборудования для полупроводниковой отрасли, опубликовала отчет за третий квартал 2019 года. Квартальный доход ASML составил 2,987 млрд евро. Для сравнения: во втором квартале доход б...

Kirin 990 не получил самое мощное ядро и вот почему Уже стало традицией, что свой очередной флагманский чип Huawei анонсирует на выставке IFA. В этом году широкой аудитории был представлен процессор Kirin 990, ключевой фишкой которого стал встроенный 5G‑модем.   Сам он производится по улучшенному 7-нанометровому техпро...

30 мая выйдет первый смартфон на базе нового чипа Snapdragon 665 Популярный индийский инсайдер Судханшу Амбхоре (Sudhanshu Ambhore) рассказал, что на этой неделе представят первый смартфон c новым процессором Qualcomm Snapdragon 665. По словам источника, мероприятие пройдёт 30 мая. К сожалению, о каком конкретно устройстве идёт речь, п...

Xiaomi скоро представит смартфон CC9 Pro с камерой на 108 Мп. Китайский производитель Xiaomi готовит еще один новый смартфон под названием CC9 Pro. Главной особенностью новинки станет камера на 108 МП. К смартфону также еще приписывают игровой процессор Snapdragon 730G, который разработан по 8-нанометровому техпроцессу и имеет два выс...

Huawei станет «первым в мире мобильным брендом с двумя 7-нанометровыми платформами». 21 июня будет представлена SoC Kirin 810 Компания Huawei опубликовала в социальной сети Weibo очередную тизерную картинку, посвященную смартфону Nova 5. Изображение демонстрирует многомодульную камеру во всей красе, но надпись сверху — интереснее. В ней компания называет себя «первым в мире мобиль...

Производители увеличивают число слоев флеш-памяти 3D NAND, надеясь сократить выпуск и повысить цены По сообщению источника, производители флеш-памяти ускоряют освоение серийного производства кристаллов 3D NAND со 120 или 128 слоями. Они рассчитывают начать массовый выпуск этой продукции в 2020 году. При этом Toshiba и Western Digital планируют выпускать 128-сл...

MediaTek предположительно готовит флагманский процессор для игр Helio G90 Только вчера состоялся анонс улучшенной версии процессора Snapdragon 855 Plus, как сегодня стало известно, что похожий чип, с акцентом на игровых возможностях, готовит компания MediaTek. Как вы можете знать, раньше именно слабый видеоускоритель был главной проблемой систем ...

Intel планирует снижение цен, чтобы конкурировать с AMD Не так давно компания AMD показал рынку свои процессоры Ryzen 3000, которые задерут планку производительности ещё выше, что было очень позитивно воспринято энтузиастами и любителями компьютерного железа. Позитива сюда добавляет также то, что это усилит конкуренцию между 2 ...

Квартальный отчёт Intel: выручка не изменилась, а все остальные основные финансовые показатели упали Компания Intel опубликовала отчёт по итогам первого квартала 2019 финансового года. И квартал этот был для компании далеко не самым успешным. Выручка компании осталась неизменной в годовом выражении — 16,1 млрд долларов. А вот все остальные основные финансовые по...

Преемник eFlash готов. Samsung Electronics начинает коммерческую поставку eMRAM Компания Samsung Electronics объявила о начале массового производства своего первого коммерческого продукта со встроенной магнитной памятью с произвольной выборкой (eMRAM) на основе 28-нанометрового технологического процесса 28FDS, построенного на использовании полность...

Новый процессор Snapdragon 712 Qualcomm анонсировала новое дополнение к своему ассортименту чипсетов - Snapdragon 712. Он построен на 10-нм техпроцессе и представляет собой скромное обновление популярного Snapdragon 710. Он имеет более быстрый восьмиядерный процессор, который повышает производительнос...

Процессоры Intel Comet Lake выйдут только через год Процессоры AMD Ryzen выходят летом текущего года, и Intel неплохо бы на это чем-то ответить, особенно учитывая ситуацию затягивающегося дефицита CPU. Но, увы, отвечать, похоже, будет совсем нечем: свежие процессоры Comet Lake, согласно только-только появившейся в Сети д...

TSMC не справляется с огромным числом заказов на 7-нм продукты: сроки выполнения выросли в 3 раза 7-нм техпроцесс пользуется огромным спросом среди клиентов TSMC. Конечно, это не может не радовать тайваньского контрактного производителя, но у этой медали есть и оборотная сторона. Подробнее об этом читайте на THG.ru.

Новые Nintendo Switch и NVIDIA Shield TV получат улучшенную Tegra X1 На прошедшей игровой выставке E3 было представлено немало впечатляющих игр для гибридной портативной консоли Switch, но ожидания, что Nintendo покажет или расскажет о грядущих аппаратных новинках, не оправдались. Пока даже о формате устройств нет верных сведений, тем не мене...

Возвращение Rockchip. SoC RK3588 предложит восьминанометровый техпроцесс и ядра Cortex-A76 и Cortex-A55 Компания Rockchip на данный момент редко мелькает в новостях тематических ресурсов. Даже китайские производители планшетов сейчас используют платформы Rockchip достаточно редко. Однако вскоре компания сможет предложить производителям устройств весьма современную SoC. Од...

Vivo X30 на базе Exynos 980 дебютирует в декабре В сентябре Samsung представила флагманский чип Exynos 980, ставший первым процессором компании со встроенным 5G-модемом. Логично было предположить, что новинке уготована судьба стать «сердцем» премиальных устройств южнокорейского гиганта. Но, есть вероятность, чт...

Новая платформа не позволяет Samsung Galaxy Note10+ существенно превосходить Galaxy S10+ В основе смартфонов Samsung Galaxy Note10 и Galaxy Note10+ лежит однокристальная система Exynos 9825 (не для всех рынков). Эта SoC отличается от Exynos 9820 лишь частотами и тем, что производят её по техпроцессу 7 нм с использованием технологии EUV. Поэтому ожидать ско...

Прощай, LGA 1151. В следующем году процессоры Intel Comet Lake потребуют нового сокета Как известно, настольных процессоров Intel семейства Ice Lake в ближайшее время ждать не стоит. Судя по всем слухам и официальным заявлениям, они выйдут в лучшем случае в начале 2021 года, хотя сегодняшние слова главы Intel заставляют засомневаться в этом. Возможно, Int...

Планы AMD на ближайший год: настольные процессоры Ryzen 4000 выйдут в августе 2020 года, а мобильные — в начале того же года Вчера мы говорили о слухах, которые утверждали, что новые настольные APU AMD могут выйти уже в ноябре или декабре. Но также источник говорил, что есть вероятность анонса уже на CES 2020 в январе. Если верить неофициальной дорожной карте, которую опубликовал другой источ...

Представлена линейка процессоров Intel Xeon W 3000 (Cascade Lake): до 28 ядер, до 64 линий PCIe и цена до $7453 Линейка серверных процессоров Intel разом пополнилась девятью новыми моделями — все они являются представителями серии Xeon W 3000 (семейство Cascade Lake). Ну а самый главный представитель линейки, Xeon W-3275M, вошел в перечень опционального оснащения новейшего ...

Kirin 990 в Huawei Mate X подтвержден Несколько дней назад пришла информация, что компания модернизировала складной Huawei Mate X, сменив Kirin 980 на Kirin 990. Сегодня руководитель подразделения Huawei в Канаде Янминг Ван подтвердил изданию Techradar, что коммерческий вариант гибкого мобильника Huawei действит...

Intel не торопится расширять производственные мощности в Израиле Поставку 10-нм процессоров Ice Lake для применения в ноутбуках компания Intel должна начать ко второму полугодию, поскольку готовые системы на их основе должны появиться в продаже до начала сезона рождественских распродаж. Эти процессоры будут выпускаться уже по второму поко...

5G, искусственный интеллект, 20 часов автономности и моментальное пробуждение. Intel рассказала, какими будут ноутбуки в 2020 году Компания Intel сегодня провела специальное мероприятие, на котором рассказала о новинках, припасенных к выставке Computex 2019. Одной из таких является Project Athena. По сути это платформа ноутбуков будущего, которые, по словам производителя, окажутся гораздо лучше нын...

GeForce RTX 3080 могут представить уже в июне На днях мы сообщали о том, что слухи указывают на задержку выхода видеокарт Nvidia следующего поколения, из-за чего модель GeForce RTX 2080 Ti Super всё же должна увидеть свет. Новые данные ничего не говорят о таком адаптере, зато проливают свет на следующее поколение в...

SK Hynix начинает серийный выпуск первой в мире 128-слойной флеш-памяти 4D NAND Компания SK Hynix объявила о начале серийного выпуска первых в мире кристаллов 128-слойной флеш-памяти типа TLC 4D NAND плотностью 1 Тбит. Такой кристалл представляет собой наиболее сложное изделие в своем роде: он насчитывает более 360 миллиардов ячеек, каждая из котор...

Helio P90 оказался производительнее Snapdragon 670 Две недели назад чипмейкер MediaTek представил очередное свое творение — Helio P90. В связи с отказом развивать линейку флагманских процессоров Helio X, этот чипсет стал самым мощным решением в модельном ряду тайваньской компании. Во время анонса MediaTek не стала гово...

Tesla отказывается от процессоров Nvidia в пользу собственной разработки Компания Tesla Motors объявила о разработке собственного процессора ИИ для самоуправляемого автомобиля. Именно он послужит аппаратной основой для функции автопилота во всей линейке электромобилей компании, сменив процессоры Nvidia DGX. Новый процессор называется...

Наконец-то. Коммерческая печать панелей OLED начнется 2020 году Производители плоских панелей в Японии и в других странах разрабатывают технологию струйной печати OLED, причем японская компания JOLED, вероятно, будет первой, кто начнет продажи печатных панелей OLED в 2020 году. Такое мнение высказывают отраслевые наблюдатели. ...

MediaTek Helio P35 и его характеристики Mi Play стал первым смартфоном компании с каплевидным вырезом в экране. Помимо нового процессора он получил 4 ГБ оперативной памяти, накопитель на 64 ГБ с возможностью расширения, аккумулятор ёмкостью 3000 мАч, двойную камеру с разрешением 12 Мп и сканер отпечатков пальцев.В...

Американские военные оплатили разработку устойчивых к радиационному поражению чипов Обычные полупроводники плохо реагируют на повышенный радиационный фон. Излучение приводит к спонтанным процессам в кремнии, что чревато сбоями и ошибками при работе с памятью. Это неприемлемо для ведения боевых действий в условиях радиационного поражения. Также устойчивость ...

AMD: 7-нм EPYC Milan превзойдут 10-нм Intel Ice Lake-SP по соотношению производительности на ватт Серверные процессоры AMD EPYC 2-го поколения обладают внушительным уровнем вычислительной мощности, что подтверждают недавние рекорды. Не в последнюю очередь это заслуга 7-нм техпроцесса TSMC, благодаря которому «красные» добились высокого соотношения производите...

Intel анонсировала свои первые массовые 10-нанометровые процессоры Ice Lake – они предназначены для ноутбуков Сегодня на специальном мероприятии в рамках выставки CES 2019 компания Intel официально анонсировала свои первые массовые CPU, произведенные по техпроцессу 10 нм. Правда, новинки именно анонсированы – массовое производство их стартует позже в этом году (вероятно, ...

Мощная новинка ZenFone Max Pro M2 Компания ASUS выпустила смартфон с отличной камерой. «Сердце» смартфона — мобильная платформа Qualcomm Snapdragon 660 с технологией искусственного интеллекта Qualcomm AI Engine, созданная по 14-нм техпроцессу и превосходящая прошлую модель на 11% по производительности процес...

Процессоры Ryzen Threadripper 3000 помогли в создании визуальных эффектов для нового «Терминатора» Джеймса Кэмерона Компания AMD уже перевела на семинанометровый техпроцесс и архитектуру Zen 2 массовые настольные процессоры Ryzen 3000 и серверные Epyc 2 (Rome). На очереди обновление HEDT-платформы «красных» и дебют нового поколения CPU Ryzen Threadripper 3000-й серии. В Саннивейле уже вов...

Кому процессор Intel за $15 500? Компания Intel сегодня без лишнего шума добавила в свой ассортимент новый процессор Intel Xeon Platinum 8284, который является самым производительным в линейке Xeon Platinum 8200 (Cascade Lake-SP). Новинка предназначена для серверов, включая многопроцессорные конфигурации. К...

Intel вернётся к стратегии «тик-так» Компания Intel медленно, но всё же переводит свою линейку процессоров на 10-нанометровый техпроцесс. Мобильные CPU Ice Lake уже можно купить, вчера Intel представила архитектуру Tremont, на которой будут построены 10-нанометровые «атомные» процессоры. В пер...

Недорогой смартфон Elephone A6 Mini У Elephone A6 Mini 5,7-дюймовый дисплей с соотношением сторон 19:9 и каплевидным вырезом под фронталку. Двойная основная камера с сенсорами на 16 и 2 Мп оснащена светосильной оптикой с апертурой f/1.8, что зачастую свойственно более дорогим моделями. Крупная диафрагма позвол...

Так-Так-Так и никакого Тика. Чем отличаются процессоры Intel Core разных поколений на основе одной архитектуры С появлением процессоров Intel Core седьмого поколения многим стало понятно, что стратегия «Тик-так», которой Интел следовал всё это время, дала сбой. Обещание уменьшить технологический процесс с 14 до 10 нм так и осталось обещанием, началась долгая эпоха «Така» Skylake, в...

Xiaomi готовит, возможно, самый дешевый смартфон с мощной камерой Модель Xiaomi Mi A3 получила 48-мегапиксельный модуль основной камеры - точно такой же, как используется во многих флагманских аппаратах. В основе смартфона лежит новый процессор среднего уровня, созданный на базе 8-нанометрого техпроцесса - Snapdragon 730.

Будущие Zen ориентируются на изменения архитектуры Будущее процессоров Zen связано с изменениями архитектуры, а не только техпроцесса производства. Об этом сообщила исполнительный директор AMD Лиза Су.

Появились первые упоминания о настольных процессорах Intel Ice Lake Ходило много слухов о 10-нм процессорах Intel, и большинство из них указывали на то, что компания не планирует выпускать настольные потребительские процессоры, поскольку 10-нм техпроцесс находится не на нужной стадии. Впоследствии эти слухи были опровергнуты Intel. Подробнее...

Производством Snapdragon 865 займется Samsung, а Snapdragon 875 — TSMC Недавно стало известно, что в случае с Snapdragon 865, анонс которого состоится в конце нынешнего года, Qualcomm сменит партнера по его производству. Выбор вновь пал на Samsung — одного из крупнейших производителей электроники в мире.   С конвейера южнокорейског...

Samsung представила однокристальную систему Exynos 990 для Galaxy S11 и Note11 Компания Samsung представила начинку для своих флагманов 2020 года. Новая однокристальная система Exynos 990 будет отвечать за производительность Galaxy S11 и Galaxy Note11. Не исключено, что она станет также сердцем нескольких смартфонов в линейке Galaxy A. Основными конкур...

Мощный смартфон Redmi Pro 2 Компания м выпустила компактный смартфон. Xiaomi Redmi Pro 2 получит новый восьмиядерный процессор Snapdragon 675. Этот чипсет выполнен по 11-нм техпроцессу и оснащён двумя мощными ядрами с тактовой частотой 2 ГГц и шестью ядрами на 1,7 ГГц. За графику отвечает Adreno 612, к...

Монолитная память Micron LPDDR4X DRAM Компания Micron Technology представила монолитную память LPDDR4X DRAM плотностью 16 Гбит. Это максимальная плотность в отрасли. Используя восемь таких кристаллов, можно изготовить микросхему, позволяющую включить в конфигурацию смартфона 16 ГБ ОЗУ. Новые кристаллы изгота...

Опубликованы характеристики процессоров AMD Ryzen 3000: от 6 ядер у Ryzen 3 3300 до 16 ядер у Ryzen 9 3800X AMD запланировала специальное мероприятие на 9 января, оно пройдет в рамках выставки CES 2019. В этот день компания представит новое поколение процессоров Ryzen – Ryzen 3000, но уже сейчас источник рассекретил их характеристики. Случайно это получилось или нет, но...

Компания GlobalFoundries может быть продана, названы потенциальные покупатели Компания GlobalFoundries, уступающая на рынке контрактного производства полупроводниковой продукции только TSMC и Samsung, может быть продана новому владельцу. В прошлом году штат контрактного производителя был сокращен на 5%. А в конце января этого года стало известно...

SK Hynix сократит выпуск флеш-памяти NAND более чем на 10% Как мы уже сообщали, сегодня компания SK Hynix опубликовала квартальный отчет. По сравнению с предшествующим кварталом доход и операционная прибыль сократились на 32% и 69%. По словам производителя, причина в том, что цены на его продукцию снижались «быстрее, чем ...

Xiaomi Mi A3 чипом Snapdragon 665, тройной камерой и ценником в 250 евро Компания Xiaomi официально представила свой новый смартфон в рамках программы Android One — Mi A3. Новинка стала не полной копией Xiaomi CC9, а его младшей версии CC9e. Смартфон получил стеклянный корпус с несколькими расцветками, а также плоский AMOLED-дисплей на 6 дюймов ...

Чипсет Intel B365 нашёл применение в пяти материнских платах ASRock Модельный ряд системных плат ASRock для платформы Intel LGA1151-v2 пополнился пятью изделиями, созданными на базе набора логики B365. Данный чипсет, напомним, был представлен в прошлом месяце, производится по 22-нм техпроцессу и может обеспечить...

И все-таки Samsung: корейская компания будет выпускать для Nvidia 7-нанометровые GPU нового поколения Сразу несколько источников пишут о том, что слухи о переносе производства части графических процессоров Nvidia с мощностей TSMC на мощности Samsung оказались правдой. Точнее, перспективной правдой: это случится со следующим поколением GPU Nvidia. Новая волна слухов о сд...

Arm Musca-S1 — необычная тестовая микросхема для IoT Компания Arm в сотрудничестве с Samsung Foundry, Cadence и Sondrel продемонстрировала тестовую микросхему Musca-S1 для устройств интернета вещей, изготовленную на мощностях Samsung по 28-нанометровому техпроцессу FD-SOI. Это первая микросхема такого рода, оснащенная вст...

Завершении работы над архитектуры Zen 3 Учитывая окончание работ на Zen 3, первые чипы, построенные по этой микроархитектуре, могут появиться на рынке уже в 2020 году. Тестирование прототипов Zen 4 AMD планирует начать в 2021-м. Вполне возможно, что за два года полупроводниковое производство перейдёт на более сове...

В секретной лаборатории Tesla разрабатывает собственные аккумуляторы Как известно, компания Tesla активно сотрудничает с Panasonic, так как последняя является поставщиком аккумуляторов для батарей электромобилей Tesla. Однако источники сообщают, что Tesla в своей «секретной лаборатории» занимается разработкой собственных акк...

AMD показала на CES 2019 процессоры Ryzen 3-го поколения Компания AMD провела презентацию нового чипа на базе техпроцесса 7нм, основанного на архитектуре Zen 2. Новинка под названием Ryzen предназначена для оснащения ПК и ноутбуков. В процессе презентации компания представила возможности своей новинки вместе с созданной новейшей в...

Предварительный обзор видеоускорителей AMD Radeon RX 5700 и 5700 XT: разбираем новую архитектуру Navi, смотрим на видеокарты Видеокарты семейства Radeon RX 5700, основанные на двух модификациях графического процессора Navi 10 новой архитектуры RDNA, заняли на рынке игровых видеокарт место Vega 56 и Vega 64, которые слишком дороги в производстве. В наших синтетических тестах новинки за редким исклю...

Huawei Mate 30 Pro получит 6,7-дюймовый экран, Kirin 985, 4 камеры и быструю 55-Ваттную зарядку Huawei только недавно представила смартфоны P30 и P30 Pro. Анонс второй флагманской линейки Mate 30 должен состояться в октябре. К тому времени HiSilicon наверняка выпустят новый чип, так что смартфоны закономерно станут самыми мощными в линейке компании. Скорее всего, это ...

В Китае началось производства восьмиядерного процессора Zhaoxin KX-6000, соответствующего по производительности Intel Core i5-7400 Компания Zhaoxin Semiconductor, учрежденная VIA и китайским правительством, анонсировала 16-нанометровый восьмиядерный процессор Zhaoxin KX-6000 еще осенью прошлого года, ну а сейчас стартовало массовое производство модели. Еще во время анонса говорилось о том, что прои...

Обзор процессора AMD Ryzen 5 3600X Компания AMD недавно начала продажи десктопных процессоров Ryzen 3-го поколения. Новые CPU имеют целый ряд структурных и функциональных улучшений. Это и архитектура Zen 2, и 7-нанометровый техпроцесс изготовления кристаллов, и радикально увеличенный объем кеш-памяти, и улучш...

MediaTek представила первую однокристальную систему Dimensity 1000 со встроенным 5G модемом Как и планировалось, компания MediaTek представила новую однокристальную систему под названием Dimensity 1000. Это первая платформа производителя с поддержкой связи нового поколения 5G за счет встроенного модема. Dimensity построена на 7-нм техпроцессу и разбита на два клас...

Смартфон с большим экраном Huawei P Smart 2019 Смартфон оснащён новым восьмиядерным процессором Kirin 710, изготовленным по 12-нм техпроцессу и работающим на частоте до 2,2 ГГц. Независимый модуль обработки изображений и цифровой сигнальный процессор ускоряют обработку фотографий и повышают качество снимков. Технология G...

Новой памятью Micron LPDDR4X можно установить в смартфон 16 ГБ ОЗУ одной микросхемой Компания Micron Technology представила монолитную память LPDDR4X DRAM плотностью 16 Гбит. Это максимальная плотность в отрасли. Используя восемь таких кристаллов, можно изготовить микросхему, позволяющую включить в конфигурацию смартфона 16 ГБ ОЗУ. Новые кристаллы изго...

До 2030 года Samsung Electronics инвестирует в производство логических микросхем 120 млрд долларов Компания Samsung Electronics, являющаяся мировым лидером в области передовых полупроводниковых технологий, объявила о намерении до 2030 года инвестировать 120 млрд долларов в повышение конкурентоспособности своего производства логических микросхем и производства полупро...

«Байкал Электроникс» представила новый российский процессор Baikal-M Новинка представляет собой выпускаемую по 28-нм техпроцессу систему на чипе. В её основе лежат восемь 64-битных ядер ARM Cortex-A57 (ARMv8-A) с поддержкой векторных расширений NEON и восьмиядерный графический процессор Mali-T628 (MP8) с аппаратным ускорением воспроизведения ...

AMD о ценах на процессоры: «больше производительности за те же деньги» Выступление на технологической конференции Bank of America Merrill Lynch вице-президента AMD Рут Коттер (Ruth Cotter) было достаточно содержательным, поскольку в её зону ответственности входит не только общение с инвесторами, но и определение политики компании в сфере маркет...

Завтра Huawei представит новый процессор Kirin Как вы уже наверняка знаете, заявления о том, что Huawei при производстве процессоров для своих смартфонов полностью полагается на себя, оказались неправдой. Да, ее «дочка» HiSilicon разработала часть важных компонентов для чипсетов самостоятельно, но это было сделано с исп...

Крупнейшая фондовая биржа Швейцарии разрабатывает привязанный к франку стейблкоин SIX Swiss Exchange, крупнейшая фондовая биржа Швейцарии, ведет разработку собственного стейблкоина, цена которого будет привязана к местному франку. Об этом сообщает Cointelegraph. Кроме того, во второй половине 2019 года компания планирует запустить основанную на блокчейне ...

Платформа в новейшем смартфоне Motorola действительно является почти полной копией SoC, установленной в Samsung Galaxy A50 Вчера компания Motorola представила смартфон One Vision, который выделяется целым рядом особенностей. Тут и «кинематографический» дисплей с отверстием под фронтальную камеру, и 48-мегапиксельный датчик в основной камере, что у Motorola впервые, и «чист...

Yangtze Memory организовала массовый выпуск 64-слойной памяти 3D NAND Китайская компания Yangtze Memory Technologies (YMTC) приступила к массовому производству 64-слойных микрочипов флеш-памяти TLC 3D NAND. Об этом сообщает ресурс Digitimes, ссылаясь на отраслевые источники. Речь идёт об изделиях ёмкостью 256 Гбит. Упомянутая технология TLC пр...

Intel продолжит использовать техпроцесс 14 нм даже при создании новейших дискретных мобильных видеокарт Как известно, в следующем году Intel выпустит на рынок дискретные видеокарты Xe с 10-нанометровыми GPU. Согласно последним данным, первые модели выйдут в середине года. Кроме того, в следующем году на рынок должны выйти и 10-нанометровые мобильные CPU Tiger Lake, содерж...

Все заказы на модемы 5G пока достаются TSMC Компания TSMC, являющаяся крупнейшим контрактным производителем полупроводниковой продукции, уже получила заказы на выпуск модемов 5G, разработанных компаниями, не имеющими собственного производства. Более того, по данным отраслевых источников, пока что все заказы на вы...

Intel рассказал о своих видеокартах: 3 линейки, GPU с тысячами исполнительных блоков, память HBM, Rambo Cache и объемная компоновка Foveros Компания Intel продолжает раскрывать подробности о своих перспективных дискретных 3D-картах Xe. Новые данные о них сообщил главный архитектор Intel и старший вице-президент по архитектуре, программному обеспечению и графике Раджа Кодури (Raja Koduri) на м...

И снова о макетах Всем привет! У нас тут очередные макеты были отгружены заказчику.В этот раз конструктора Заказчика отдали 3D-файлы горной техники без права передачи на сторону. Но при масштабировании, естественно, слетели размеры тонких элементов, пришлось кое-что и немало допиливать. Но в ...

AMD представила свой самый производительный 64-ядерный процессор В начале августа AMD представила новые серверные процессоры Epyc. Новое поколение перешло на семинанометровый техпроцесс и архитектуру Zen 2. И на данный момент у этих CPU попросту нет прямых конкурентов из стана Intel. Особенно, если вспомнить, что даже топовый 64-ядер...

AMD анонсировала новое поколение передовых решений Компания AMD анонсировала продукты на базе нового 7-нм техпроцесса и графические решения, которые обеспечат новый уровень производительности, функциональности и новые возможности для геймеров, энтузиастов и создателей контента ...

Уже в этом году MediaTek выпустит флагманскую семинанометровую однокристальную систему с поддержкой 5G У компании MediaTek на данный момент самой производительной однокристальной системой является Helio P70, причём она очень мало отличается от Helio P60. Но ещё в декабре производитель анонсировал также Helio P90, которая должна появиться в смартфонах уже в нынешнем кварт...

Cisco покупает разработчика кремниевой фотоники Luxtera Оптические технологии необходимы компании для создания оборудования для высокоскоростных сетей центров обработки данных и операторов связи. Компания Luxtera занимается разработкой полупроводниковых оптических трансиверов — микросхем, преобразующих световые сигна...

Очередная революция AMD. Следующее поколение CPU Epyc может получить способность выполнять до четырёх потоков на ядро Как известно, в следующем году нас ждут процессоры AMD с архитектурой Zen 3. Компания уже завершила её разработку, новый техпроцесс там использоваться не будет, так что никаких задержек не ожидается. Однако новые CPU, которые будут использовать Zen 3, могут оказаться ку...

Приготовь-ка мне баньку «по чёрному»… Сколько я не говорю, что крафтовое изготовление — это круто, народу надо, чтобы изделие сияло, как у того кота. Так что готовим баню. Сразу оговорюсь: ЭТО ПРОМЫШЛЕННЫЙ ВАРИАНТ ДЛЯ ПОМЕЩЕНИЙ С ХОРОШЕЙ ВЕНТИЛЯЦИЕЙ!Всё достаточно просто. Берем пластиковый контейнер с крышкой и ...

Дефицит процессоров Intel продлится до декабря текущего года В конце прошлого года еще встречались относительно позитивные прогнозы по ситуации нехватки процессоров Intel на рынке: мол, в 2019 году, если не в первом квартале, то уж к середине года точно, ситуация должна разрешиться. Судя по новым данным, дефицит CPU если и исчезн...

Новейшая платформа Qualcomm Snapdragon 215 производится по техпроцессу 2012 года Сегодня мы уже писали об однокристальной системе Snapdragon 215, предназначенной для очень дешёвых смартфонов. И вот теперь Qualcomm представила данное решение. Никакого пресс-релиза нет, просто новинка появилась в списке линейки Snapdragon 200. Итак, новая однокристаль...

Новые HEDT-процессоры AMD Ryzen Threadripper 3000 будут разделены на две серии с разными характеристиками Ранее AMD уже перевела на семинанометровый техпроцесс и микроархитектуру Zen 2 настольные процессоры Ryzen для массовой платформы и серверные процессоры Epyc. Линейка Ryzen 3000 из шести моделей вышла 7 июля, а серия Epyc второго поколения (Rome) спустя месяц. Следующая на о...

Константину Носкову представили опыт цифровизации компании «Протон-ПМ» В мероприятии также приняли участие губернатор Пермского края Максим Решетников и заместитель председателя правительства - министр промышленности, предпринимательства и торговли Пермского края Алексей Чибисов. Интегрированную структуру ракетного двигателестроения представили...

Intel обещает, что CPU Cascade Lake-X по соотношению цены и производительности будут вдвое лучше предшественников Новые процессоры Intel HEDT поколения Cascade Lake-X выйдут уже в следующем месяце. Это та самая линейка, которая, судя по имеющимся данным, будет называться Core i9-10000. Также есть данные, что прирост производительности новых CPU составит всего 3-7%, что будет обусло...

До конца года MediaTek представит новые микросхемы с поддержкой 5G Специалисты MediaTek готовят к серийному выпуску новые однокристальные системы для мобильных устройств с поддержкой 5G. Он будут представлены в этом году и в начале следующего. Такими данными располагают отраслевые источники. Ожидается, что MediaTek представит решения,...

Производители ускоряют переход на 96-слойную флеш-память 3D NAND Производители микросхем флеш-памяти уверенно повышают процент выхода годной продукции при выпуске 96-слойной флеш-памяти 3D NAND. Ожидается, что эта технология станет основной в производстве флеш-памяти в 2020 году. Переход на 96-слойную технологию 3D NAND поможет поста...

Будущие iPhone обзаведутся дисплеями microLED Вот уже который год в Сети циркулируют слухи о том, что Apple работает над новой перспективной технологией — экранами на основе microLED. Для этих целей Apple даже открыла секретный завод в Калифорнии, где компания тайным образом разрабатывает и тестирует дисплеи ново...

Полупроводниковые амбиции Foxconn нарастают Как сообщает популярный тайваньский интернет-ресурс DigiTimes, тайваньская компания Hon Hai Precision Industry, известная во всём мире благодаря своей торговой марке Foxconn, на всех парах приближается к созданию собственного полупроводникового производства. В прошлом Foxcon...

Intel анонсировала 10-нм мобильные процессоры Ice Lake На ежегодной выставке Computex 2019 компания Intel представила процессоры Core 10-го поколения под кодовым названием Ice Lake, созданные с использованием 10-нм техпроцесса и архитектуры Sunny Cove. На текущий момент речь идёт только о моделях для ноутбуков и ультрабуков. Ож...

AMD представила семейство графических карт Radeon RX 5000 на базе Navi Сегодня, на открытии выставки Computex 2019, компания AMD предварительно представила долгожданные игровые видеокарты семейства Navi. Серия новинок получила маркетинговое название Radeon RX 5000. Стоит напомнить, что вопрос брендирования был одной из самых главных интриг при ...

Intel Rocket Lake - это перенос ядер Willow Cove на 14-нм техпроцесс Процессорные ядра Willow Cove основываются на Sunny Cove, которые являются первыми ядрами Intel с действительно новым дизайном за последние 5 лет. Sunny Cove оснащают 10-нм процессоры Ice Lake, тогда как появление Willow Cove ожидается в 10-нм+ Tiger Lake. Сообщается, что In...

Итальянские исследователи разработали новые органические полевые транзисторы на основе графена Исследователи из итальянского института ISOF-CNF разработали новые органические полевые транзисторы n-типа на основе листов CVD-графена — OFET. Исследователи говорят, что новый процесс и материалы, которые они использовали, позволяют изготавливать гибкие и прозрач...

AMD анонсировала видеокарты Radeon RX 5000 (Navi) Сегодня на специальном мероприятии, предваряющим открытие выставки Computex 2017, AMD официально анонсировала долгожданные видеокарты Navi. В честь 50-летия, которое компания отмечает в этом году, линейка получила обозначение Radeon RX 5000. Улучшений в Navi хватает. И...

64-ядерный CPU Epyc 7742 оценён менее чем в 8000 долларов Процессоры AMD Epyc второго поколения должный выйти в третьем квартале. Напомним, эти серверные CPU перешли на семинанометровый техпроцесс и архитектуру Zen 2. Кроме того, количество ядер выросло вдвое, так что флагманы теперь 64-ядерные. И вот теперь в Сети появились ...

AMD анонсировала второе поколение мобильных процессоров Ryzen Pro Mobile Компания AMD объявила о выходе второго поколения мобильных процессоров Ryzen Pro с графикой Radeon Vega, которые предлагают улучшенную энергоэффективность, безопасность и управляемость. По словам старшего вице-президента AMD, пользователи бизнес-ноутбуков хотят использовать...

Intel пояснила, почему решила начать освоение 7-нм технологии именно с GPU Структура кристалла графических процессоров позволяет проще отключать дефектные участки.

AMD развеяла миф о четырёх потоках на ядро в процессорах с архитектурой Zen 3 Самым настойчивым слухом последних месяцев, имеющим отношение к будущим процессорам AMD, можно считать переход в рамках архитектуры Zen 3 от двух потоков на ядро к четырём. Предполагалось, что подобная метаморфоза принесёт пользу в серверном сегменте, где производительность ...

Специалисты Fujifilm не смогли создать объектив XF 33mm f/1.0 R WR приемлемых размеров и массы На мероприятии Fujifilm X Summit Shibuya 2019 компания Fujifilm не только показала беззеркальную камеру Fujifilm X-Pro3. Производитель также рассказал о том, как идет разработка объектива Fujifilm XF 33mm f/1 R WR. По словам представителей компании, первый прототип пол...

Qualcomm наконец-то выпустит действительно новую и современную платформу для умных часов Ситуация на рынке умных часов с Android Wear весьма плачевная. Есть мнение, что одним из основных виновников является Qualcomm, которая не может или не хочет предложить нормальную платформу для данной категории устройств. К примеру, SoC Snapdragon Wear 2100 фактически я...

Дефицит 14-нм процессоров Intel будет ослабевать постепенно Исполнительный директор Intel Роберт Суон (Robert Swan) на минувшей квартальной отчётной конференции чаще упоминал о дефиците производственных мощностей в контексте увеличения затрат и смещения структуры ассортимента процессоров в сторону более дорогих моделей с бóльшим коли...

Чип Exynos 980 в серии Vivo X30 подтвержден Как и было обещано, сегодня в Китае компании Vivo и Samsung провели совместную пресс-конференцию. Целью ее было рассказать о том, какими впечатляющими будут новинки серии Vivo X30 и все благодаря установке чипа Exynos 980 с интегрированный 5G-модемом. Сами смартфоны представ...

Представлена однокристальная платформа MediaTek Dimensity 1000, которая лучше Snapdragon 855 Plus и Kirin 990 Компания MediaTek обещала представить сегодня свою новую флагманскую однокристальную платформу со встроенным модемом 5G, и она это сделала. Новинка получила особое название — Dimensity 1000. Накануне Dimensity 1000 разгромила топовые платформы Kirin 990 и Snapdra...

Новая статья: Микроархитектура Zen 2: вот почему мы ждём Ryzen 3000 Через две недели с небольшим нас, по всей видимости, ожидает чудо. Такой вывод можно сделать, если обобщить все те предположения, которые высказывают пользователи в ожидании предстоящего анонса процессоров Ryzen третьего поколения. Но даже самые смелые высказывания о том, бу...

Samsung готовится выпускать SoC для очков Facebook с дополненной реальностью Южнокорейское издание ETNews сообщило, что компании Samsung Electronics и Facebook с начала этого года плотно сотрудничают над проектом по созданию очков дополненной реальности. Архитектура SoC и проект в целом создаются специалистами обеих компаний и, возможно, бывшими инже...

Samsung анонсирует 7-нм процессор EUV Exynos 990 Компания Samsung анонсировала новый мобильный процессор под названием Exynos 990. Exynos 990 построен на 7-нм техпроцессе EUV, имеет восемь ядер в трехкластерной конфигурации 2+2+4. Про тактовые частоты Samsung умалчивает, но говорит что производительность ядер увеличи...

Мобильные процессоры Intel 10 поколения обгоняют последние чипы AMD Процессоры под кодовым именем Ice Lake появлялись на свет долго и мучительно. Intel несколько лет подряд испытывает трудности с переходом на более современный техпроцесс 10 нанометров и менее. В тоже время главный конкурент — AMD, — в 2019 году успешно анонсировал и затем вы...

SoC Kirin 990 с технологиями ARM выйдет выйдет в 2020 году, а в Kirin 1020 будут только собственные разработки Huawei Несмотря на то, что ARM и Huawei приостановили сотрудничество, китайский гигант продолжает разработку новейшей однокристальной системы Kirin 990, которая может быть представлена в 2020 году. Согласно последней информации, новая однокристальная система должна использова...

Официально: Redmi Note 8 получит Qualcomm Snapdragon 665 Redmi, суббренд китайской компании Xiaomi, ранее подтвердил, что новый смартфон Redmi Note 8 Pro будет базироваться на игровом чипе Helio G90T от MediaTek. А вот стандартная версия Redmi Note 8 получит совершенно другой процессор. Сегодня Redmi объявил о том, что Redmi Note ...

Samsung представила 7-нм чип Exynos 9825 За несколько часов до презентации Galaxy UNPACKED 2019, которая будет посвящена анонсу Samsung Galaxy Note 10, южнокорейский гигант объявил о выходе новой однокристальной системы для мобильных устройств. Новый чип, получивший название Exynos 9825, по сути является обновлённо...

Новые подробности о пятиядерных гибридных процессорах Intel Foveros В будущем почти все продукты Intel будут использовать пространственную компоновку Foveros, её активное внедрение начнётся в рамках 10-нм техпроцесса. Второе поколение Foveros будет использоваться первыми 7-нм графическими процессорами Intel, которые найдут применение в серв...

Intel не поздоровиться. Новое поколение процессоров AMD впечатлит приростом производительности Источник взял интервью у вице-президента AMD Форреста Норрода (Forrest Norrod), из которого мы теперь можем узнать кое-что новенькое о планах производителя. Первое, что вызывает интерес, это подробности об микроархитектуре Zen 3, которая станет основой новых процессоров...

Названы сроки запуска серийного производства чипа ... По сообщению сетевых источников, Huawei готова начать массовое производство флагманской однокристальной системы в третьем квартале текущего года. Сейчас компания завершает проектирование нового чипсета и к концу второго квартала планирует начать его тестовые испытания, по за...

ASRock анонсировала видеокарты линейки Phantom Gaming Alliance ASRock Phantom Gaming Alliance - это линейка продуктов компании, направленных на предоставление высококлассного игрового оборудования для геймеров и энтузиастов. Последним дополнением к линейке Phantom Gaming Alliance является пара новых видеокарт на базе графического пр...

Intel обещает освоить 7-нм технологические нормы в 2021 году На мероприятии Investor Meeting 2019 корпорация Intel поделилась планами по выпуску продукции и переходу на новые производственные нормы в течение ближайших пары лет. Как известно, первые 10-нм CPU Intel Ice Lake-U в составе...

Apple против AMD. Из-за повышенного спроса на iPhone 11 может пострадать производство CPU и GPU AMD Похоже, iPhone 11 может повторить успех iPhone XR или даже превзойти предшественника. Как мы сообщали на днях, Apple уже сейчас решила увеличить производство новой модели на 10%. И это может оказаться проблемой для некоторых других компаний и даже для рынка в целом. Но...

Hot Chips 31: детали об Intel Nervana NNP-T или TSMC в помощь На конференции Hot Chips 31 компания Intel раскрыла ряд важнейших характеристик семейства ускорителей нейронных сетей Nervana NNP-T для машинного обучения (тренировки). Ускорители Nervana NNP-T, что важно знать для дальнейшего понимания, Intel разрабатывает вместе с китайски...

20 лет назад вышел первый в мире GPU — GeForce 256 Два дня назад, 11 октября, исполнилось 20 лет с момента запуска на рынок видеокарты GeForce 256, которая, кроме прочего, стала первенцем семейства GeForce. Данный 3D-ускоритель был представлен 31 августа 1999 года, а в продажу поступил 11 октября того же года. Nvid...

Bosch приступает к выпуску микросхем из карбида кремния Компания Bosch сообщила о запуске производства полупроводниковых изделий из карбида кремния (SiC), которые предназначены для электромобилей. По сравнению с кремниевыми аналогами, используемыми сегодня, они меньше, могут переключаться с большей скоростью и рассеивают гор...

Официально: видеокарты AMD Radeon Navi выйдут в третьем квартале 2019 года Компания AMD официально подтвердила информацию о том, что первые видеокарты на базе новой графической архитектуры Navi выйдут в третьем квартале 2019 года. Чипы будут выполнены по 7-нм техпроцессу TSMC. Стоит отметить, что Navi — это семейство графических процессоров, поэто...

Представлена 7-нанометровая SoC Kirin 810 Сегодня Huawei анонсировала не только смартфоны серии Nova 5, но и новую однокристальную систему Kirin 810. Как и Qualcomm Snapdragon 855, Apple A12 и Kirin 980, новая SoC Kirin 810 производится по 7-нанометровому технологическому процессу. Поэтому Huawei стала единств...

Представлен Honor V20 — доступный смартфон с флагманским процессором Еще в ноябре 2018 года было известно, что смартфон Honor V20 станет самым доступным смартфоном с 7-нанометровым процессором Kirin 980. Предположение оказалось верным — он действительно обладает флагманским чипсетом и стоит от 435 долларов. Для сравнения, Honor Magic 2 с тем...

США готовится наказывать несговорчивых за «дружбу» ... Huawei прошла долгий и сложный путь на рынке к тому, чтобы стать серьезным игроком. Но рост влияния компании и увеличение популярности ее продуктов явно не входили в планы США. В своей попытке ослабить и даже уничтожить Huawei, Америка использует грубую и неприкрытую силу. &...

Intel анонсировала Stratix 10 GX 10M на 14-нм техпроцессе Сегодня Intel анонсировали Stratix 10 GX 10M - программируемую логическую интегральную схему (ПЛИС), основанную на 14-нм техпроцессе. Новинка может предложить 43,3 миллиарда транзисторов, что делает ее самой большой матрицей данного типа в мире. Ранее самой большой интеграль...

Intel на Mobile World Congress 2019 На выставке MWC 2019 компания Intel представила новейшие разработки для сетей 5G и рассказала о том, как инженеры компании создают технологическую основу для обработки, перемещения и хранения данных в эпоху 5G. На мероприятии было сделано несколько крупных анонсов, которые п...

Core i9-10980XE — 18-ядерный флагман новой линейки процессоров Intel HEDT поколения Cascade Lake-X (+ результат теста Geekbench для 10-ядерного Core i9-10900X) В следующем месяце Intel должна представить новое семейство HEDT-процессоров в конструктивном исполнении LGA2066 — Core i9-10000 (Cascade Lake-X). От нынешних Skylake-X новые Cascade Lake-X будут отличаться весьма незначительно: ни архитектура, ни техпроцесс не изменятся, ли...

Убытки TSMC после химического загрязнения на производстве оценены в $550 млн Январский инцидент на крупнейшей фабрике TSMC, когда из-за загрязнения одного из реактивов, применяемых для подготовки фоторезиста, компании пришлось отправить в утиль партию из нескольких тысяч полупроводниковых пластин, обойдется ей в 550 миллионов долларов убытков. Напомн...

Ростех и РАН объединят усилия для создания инновационных продуктов Документ подписали глава Госкорпорации Ростех Сергей Чемезов и президент Российской академии наук Александр Сергеев. Основная цель взаимодействия - сократить дистанцию между наукой и промышленостью и способствовать внедрению в производственную практику современных научных до...

Представлены однокристальные системы Kirin 990 и Kirin 990 5G Компания Huawei сегодня представила однокристальную систему Kirin 990 — сердце флагманских смартфонов Huawei и Honor на ближайший год. Итак, новая платформа производится по семинанометровому техпроцессу, как и Kirin 980. В конфигурацию входят два процессорных ядр...

Вице-президент Honor подтвердил, что в смартфоне Honor 9X будет использоваться новейшую 7-нанометровая SoC Kirin 810 Сегодня Huawei официально представила Kirin 810 — свою вторую однокристальную систему, выполненную по техпроцессу 7 нм. И практически сразу после этого вице-президент Honor Сюн Цзюньминь (Xiong Junmin), отвечающий за новые продукты, сообщил, что новая платформа бу...

Чип Exynos 7904 создан для недорогих устройств Южнокорейский гигант Samsung расширил модельный ряд чипов Exynos 7 Series. Сегодня был представлен Exynos 7904, рассчитанный на мобильники среднего класса, и сам производитель заявляет о том, что с его появлением устройства получат повышенную вычислительную мощность и расшир...

Параметры совершенно новых процессоров Intel Несколько дней назад мы говорили о том, что 24 октября Intel должна представить новую процессорную архитектуру Tremont, которая станет основой новых «атомных» процессоров. Ранее считалось, что новое поколение таких процессоров будут называться Jasper Lake, ...

Intel наняла индийских специалистов для создания дискретного GPU Компания Intel без лишнего шума приобрела индийский стартап Ineda Systems, который занимается производством полупроводниковой продукции. В узких кругах говорят о том, что сделка, скорее всего, не похожа на поглощение американским гигантом индийской компании, Intel больше инт...

Возможно, так будет выглядеть 3D-карта серии XFX Radeon RX 5700 Источник опубликовал изображение, на котором, по его мнению, показана 3D-карта Radeon RX 5700, разработанная по заказу XFX. Компания может использовать этот образец для моделей Radeon RX 5700 XT и RX 5700. Кожух непривычной формы обрамляет систему охлаждения с алю...

Процессор iPhone 11 оказался самым мощным чипом, установленном в смартфоне Процессор A13 Bionic устанавливается в iPhone 11, iPhone 11 Pro и iPhone 11 Pro Max Эксперты часто говорят, что мобильные процессоры Apple — одни из лучших на рынке. Компания разрабатывает чипы с большим заделом на будущее, нередко опережая по чистой производительности...

Гуд бай, Токио: Samsung нашла источник фоторезиста в Бельгии Сайт Nikkei Asian Review поделился информацией о новом источнике фоторезиста для компании Samsung Electronics. Этот ключевой материал для производства чипов южнокорейская компания получала от партнёров в Японии, но с 4 июля поставки оказались под угрозой срыва из-за санкций ...

В Китае создан фонд поддержки производителей микросхем Стало известно о том, что Национальный инвестиционный фонд Китая несколько дней назад учредил новый фонд в размере 204,15 млрд юаней (приблизительно равно $28,9 млрд), ознаменовав начало второго этапа поддержки китайских производителей микросхем. Ранее Национальный инвестици...

Аналитика: ASML в 2019 году станет лидером рынка полупроводникового оборудования, обойдя Applied По данным The Information Network, впервые с 1990 года компания Applied Materials может потерять лидерство на рынке высокотехнологичного полупроводникового оборудования. «Applied Materials, которая в последние три года теряет свою долю на рынке оборудования для производства ...

Корпус процессора AMD Ryzen третьего поколения в исполнении AM4 рассчитан на два кристалла CPU Как мы уже сообщали, генеральный директор AMD Лиза Су (Lisa Su) продемонстрировала на CES 2019 процессор Ryzen третьего поколения на микроархитектуре Zen 2. На опубликованных снимках было видно, что изделие в исполнении AM4 включает два кристалла. Собственно восьмиядерн...

Intel подтверждает намерение в 2021 году выпустить процессоры Sapphire Rapids Рассказывая о графическом процессоре Ponte Vecchio и программной модели oneAPI, компания Intel также опубликовала план выпуска процессоров для суперкомпьютерных вычислений. Это обновленная версия прошлогоднего плана. Она охватывает период с 2019 по 2021 год. По мнению ...

Pioneer и Canon будут совместно разрабатывать 3D-LiDAR Японские компании Pioneer и Canon объявили о совместной разработке датчика 3D-LiDAR. Такой датчик, использующий лазерное излучение, сможет не только точного определять расстояния до объектов, но и формировать трехмерную карту окружающей среды в режиме реального времени....

В линейке смартфонов Moto появится пара моделей на базе однокристальной платформы Samsung Exynos Если ранее фирменные однокристальные платформы Samsung Exynos использовались в разных моделях разных компаний (особенно Meizu), то со временем эта практика сошла на нет, а предпочтение было отдано SoC Qualcomm и MediaTek. Тем интереснее новая информация: оказывается, Le...

Центральный банк Китая практически готов к выпуску цифровой валюты Любопытно, что лна не будет основана исключительно на технологиях блокчейна: в банке полагают, что их современный уровень развития не позволит справиться с объемом операций на местном рынке. Заместитель директора управления платежей Народного банка Китая Му Чанчунь сообщи...

Запаситесь терпением: 10-нм процессоров Intel для десктопов не будет до 2022 года Как следует из просочившихся в прессу документов о ближайших планах компании Intel на процессорном рынке, будущее компании вырисовывается далеко не в радужных тонах. Если документы верны, то увеличение числа ядер в массовых процессорах до десяти штук произойдёт не ранее 2020...

У новых процессоров AMD интегрированные GPU будут работать на сумасшедших частотах В начале следующего года компания AMD представит мобильные гибридные процессоры Ryzen 4000. Это поколение будет называться Renoir и оно наконец-то перейдёт на семинанометровый техпроцесс и архитектуру Zen 2. Сегодня известный инсайдер поделился подробностями о графичес...

Ростех представит на ЦИПР-2019 дорожные карты по развитию 5G в России Переход на новые стандарты связи в масштабах страны - это всегда большие трудозатраты и гигантские финансовые вложения. По данным аналитиков развитие сетей 5G в 2020-2027 гг. обойдется каждому сотовому оператору в России в 130-165 млрд руб. Финальные суммы зависят от того, н...

Redmi Note 8 представлен официально: четырехмодульная камера, SoC Snapdragon 665, быстрая зарядка мощностью 18 Вт В это время в Китае проходит официальное мероприятие Redmi, на котором анонсируют новые устройства. И одно же полностью представлено официально – это Redmi Note 8. Смартфон стал заметно лучше в сравнении с предшественником, если оценивать в первую очередь камеры:...

Фотогалерея дня: видеокарты Radeon Navi в исполнении ASRock Вчера компания AMD номинально представила видеокарты поколения Navi. Нам рассказала о новой архитектуре, новом техпроцессе и новом принципе именования, но не раскрыли ни параметров, ни цен, ни каких-либо иных подробностей. А вот компания ASRock взяла и показала уже &laq...

AMD готовит революцию на рынке ноутбуков Компания AMD уже выпустила настольные семинанометровые процессоры и серверные. А вот в мобильном сегменте пока самыми свежими являются Ryzen 3000 — носители архитектуры Zen+, которые производятся по 12-нанометровому техпроцессу. Если верить источнику, мобильные AP...

LG Display сократит четверть руководящего и офисного персонала В пятницу южнокорейская компания LG Display сообщила о предстоящем масштабном разовом сокращении персонала. «Перегруппировке и оптимизации» подверглись руководящие и деловые кадры ― инструменты по принятию и проведению решений в жизнь производителя. Работы в компании лишится...

Китайская видеокарта Jingjia JM9271 получит память HBM и окажется не хуже GeForce GTX 1080 по производительности Китайские компании уже давно трудятся над процессорами на архитектуре x86, а некоторые работают и над видеокартами. Такую, в частности, готовит Changsha Jingjia Microelectronics, и она должна оказаться не хуже GeForce GTX 1080 по производительности, а по части отдельных...

Nissan Motor, Renault и Mitsubishi Motors намерены совместно разрабатывать технологии для автомобилей следующего поколения Nissan Motor, Renault и Mitsubishi Motors ведут переговоры о создании новой компании для совместной разработки технологий для автомобилей следующего поколения. Предполагается, что объединение технологических и кадровых ресурсов трех компаний поможет повысить эффективно...

Стало известно, какие объективы Lumix S компания Panasonic планирует выпустить в этом году Компания Panasonic опубликовала обновленный план выпуска объективов линейки Lumix S. Напомним, в эту линейку входят объективы с креплением Leica L. Компания Panasonic получила возможность использовать в своей продукции этот байонет, вступив в альянс, включающий также ко...

Видео дня: реалистичный iPhone XR 2019 от надёжного источника Ресурс Pricebaba опубликовал качественные рендеры и видео с компьютерной моделью наследника прошлогоднего iPhone XR. Материалы были получены от хорошо себя зарекомендовавшего инсайдера OnLeaks, известного, в том числе, по таким вот реалистичным трёхмерным моделям, часте...

Canon отмечает необычный юбилей В день, когда все прогрессивное человечество отмечает 102-годовщину Великой Октябрьской социалистической революции, компания Canon рассказала о своем интересном юбилее. Оказывается, в этом году исполняется 50 лет со дня выпуска первого в мире потребительского сменного о...

В ноябре Intel выпустит процессоры Comet Lake-U, которые всё ещё будут производиться по 14-нанометровому техпроцессу Как известно, в конце года Intel выпустит не только мобильные 10-нанометровые процессоры Ice Lake-U, но и линейку Comet Lake-U. Это будут 14-нанометровые процессоры, которые заменят нынешние CPU Intel в этом сегменте. При этом пока не очень понятно, как компания будет р...

TSMC верит в 7 нанометров Представители компании TSMC считают, что во второй половине 2019 года загрузка 7-нанометровой технологии возрастет. Дело в том, что некоторые крупные производители уже разрабатывают свои решения на данном технопроцессе и совсем скоро эти проекты запустят в производство. Учит...

Настольные гибридные процессоры AMD Ryzen 3000 (Picasso) близки к релизу Настольные гибридные процессоры AMD Ryzen нового поколения, которое называется Picasso, похоже, довольно близки к релизу. На это косвенно указывает то, что один из пользователей форума китайского ресурса Chiphell опубликовал фотографии имеющегося у него образца гибридного пр...

Xiaomi осторожничает с выходом гибкого смартфона Месяц назад Xiaomi выпустила ролик, в котором ее президент Лин Бин вертел в руках гибкий смартфон. Потом последовали стенания стартапа Royole о том, что подлые китайцы практически украли некоторые их идеи и не способны предложить что-то свое. На что получили ответ от Xiaomi,...

Создана компактная и дешевая оперативная память нового типа По словам главы Etron Ники Лу (Nicky Lu), технология RPC DRAM позволит добиться уменьшения физических размеров и стоимости модулей памяти, что cделает ее подходящей для применения в разработке различных гаджетов и прочих компактных устройств.Архитектура RPC DRAM схожа с DDR3...

В январе AMD может рассказать о графике поколения RDNA2 с трассировкой лучей Подробное изучение изменений, произошедших в презентации AMD для инвесторов за период с сентября по ноябрь, позволило нам выяснить, что компания не желает, чтобы начинка игровых консолей Sony и Microsoft нового поколения ассоциировалась у общественности с архитектурой RDNA в...

Технология mini-LED в этом году придет в игровые мониторы Технология mini-LED готова для применения в системах подсветки мониторов. По данным отраслевых источников, во второй половине этого года она в основном будет применяться в игровых жидкокристаллических мониторах. По имеющимся данным, для 27-дюймового игрового монитора т...

Huawei: наша базовая станция 5G весит 20 кг, ее может установить один человек Вчера компания Huawei провела в Пекине пресс-конференцию, на которой были представлены различные продукты, в частности базовая станция 5G и модем Barong 5000, который позволяет работать в сетях пятого поколения. Управляющий директор компании Huawei Динг Вей (Ding Wei) ...

SK Hynix в третьем квартале провалила всё что можно Южнокорейская компания SK Hynix опубликовала информацию о работе в третьем квартале 2019 календарного года, который закончился для неё 30 сентября. Квартальная выручка этого производителя памяти DRAM и NAND показала годовое снижение на 40 %, снижение чистой прибыли составил...

Архитектура AMD Zen — это надолго. Компания уже работает над Zen 5 Как известно, в следующем году компания AMD выпустит процессоры Ryzen и Epyc, основанные на архитектуре Zen 3. Такие CPU будут производиться по улучшенному семинанометровому техпроцессу и сохранят имеющееся сейчас количество ядер. За Zen 3 выйдет Zen 4, а затем и Zen 5....

Все материалы на данном сайте взяты из открытых источников или присланы посетителями сайта и предоставляются исключительно в ознакомительных целях. Права на материалы принадлежат их владельцам. Администрация сайта ответственности за содержание материала не несет. (Правообладателям)